数电 四位二进制减法计数器 课设.docx

上传人:小飞机 文档编号:5306998 上传时间:2023-06-24 格式:DOCX 页数:31 大小:724.50KB
返回 下载 相关 举报
数电 四位二进制减法计数器 课设.docx_第1页
第1页 / 共31页
数电 四位二进制减法计数器 课设.docx_第2页
第2页 / 共31页
数电 四位二进制减法计数器 课设.docx_第3页
第3页 / 共31页
数电 四位二进制减法计数器 课设.docx_第4页
第4页 / 共31页
数电 四位二进制减法计数器 课设.docx_第5页
第5页 / 共31页
亲,该文档总共31页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《数电 四位二进制减法计数器 课设.docx》由会员分享,可在线阅读,更多相关《数电 四位二进制减法计数器 课设.docx(31页珍藏版)》请在三一办公上搜索。

1、成绩评定表学生姓名高亮班级学号1103060128专业通信工程课程设计题目四位二进制减法计数器组长签字:成绩日期20 年 月曰课程设计任务书学院信息科学与工程学院厅业通信工程学生姓名高亮班级学号1103060128课程设计题目四位二进制减法计数器(缺0011, 0100, 0101, 0110, 1000)实践教学要求与任务:1、了解数字系统设计方法2、熟悉VHDL语言及其仿真环境、下载方法3熟悉Multismi环境4、设计实现四位二进制减法计数器工作计划与进度安排:第一周 熟悉Multisim环境及Quaitus H环境,练习数字系统设计方法, 包括采用触发器设计和超高速硬件描述语言设计,体

2、会自上而 下、自下而上设计方法的优缺点。第二周1.在Quaitus 1【环境中用VHDL语言实现四位二进制减法计 数器(缺 0011, 0100, 0101, 0110, 1000),2. 在Multisim环境中仿真实现四位二进制减法计数器(缺0011, 0100, 0101, 0110, 1000),指导教师:专业负责人:学院教学副院长:201年 月 日201 年 月曰201 年 月曰摘要本文首先在QuartusII8. 1中建立名为count 10的工程,并用四位二进制减法计数器的 VHDL语言实现了四位二进制减法计数器的仿真波形图,继续进行相关操作锁定了所需管 脚,将其下载到实验箱。然

3、后通过选用四个时钟脉冲下降沿触发的JK触发器和同步电 路,画出其时序图,卡诺图,由JK触发起的特征方程建立相关方程,进行计算,得出 了四位二进制减法计数器(缺0011, 0100, 0101, 0110, 1000)的驱动方程。进而 在Multismi软件中画出了四位二进制减法计数器的逻辑电路图。经过运行,由红灯的亮 灭顺序及绿灯的状态还有在逻辑分析仪里出现与仿真波形一样的波形图。说明四位二进 制减法计数器(缺0011, 0100, 0101, 0110, 1000)设计成功。关键字:四位二进制减法计数器;JK触发器;驱动方程;仿真波形图;逻辑电路图。目录1、课程设计目的22、课程题目实现框图

4、23、实现过程33.1 QuartusII8.1 实现过程(VHDL)33. 1. 1建立工程33.1.2调试程序43. 1.3波形仿真113.1.4仿真结果分析153.1.5引脚锁定与下载153.2 Multisim实现过程(电路设计)173. 2. 1求驱动方程 173. 2.2 画逻辑电路图213. 2. 2逻辑分析仪的仿真223. 2. 3仿真结果分析224-设计总结235、参考文献24一、课程设计目的1、了解数字系统设计方法。2、熟悉VHDL语言及其仿真环境、下载方法。3、熟悉Multismi环境,学会使用逻辑符号及元件画逻辑电路图。二、课程题目实现框图1、如图2-1所示是四位二进制

5、减法计数器的结构示意框图。CP是输入减法计数脉冲, 所谓计数,就是计CP脉冲个数,每来一个脉冲计数器就减一个1,当不够减时就向高位 错位。2、根据二进制减法计数器的规律可以画出如图2-2所示的四位二进制减法计数器的状 态图。1111 1100 1011 1010 1001 1000 0111 0110 /0000 00010010 0011 0100 0101图2.1 4位二进制减法计数器的状态图三、实现过程3.1 QuartusII8.1 实现过程(VHDL)3.1.1建立工程$ Quf 7 file Edit Vw CVojcrt Ajwgrmcnh (jrQ0 召 X 电魄 s cOCT

6、OTQ Tool* Window刁爻夕物尊少 0 样 :i OGetting Started With Quartus II SoftwareQUARTUSIIStart Designingfsf 4 rrotvdStart LearningN M*c-VWehu)-:A A JU,9L- IH tfr5t rnutCrtdle 4 New FrojectOpc htcrMtivc TirlociolVersion 8.1Open Cxbonq E|eaOpn R 命ProjcountltjccumtfxnccVe Qrtu* IIlECsadjeWeb links:UWMM Ihfcwg O

7、riTrctJemoj 1r 0mbx*lhME,in Sbiten / Pigg” * E 如Irio 人 l& A Wm” J G心Wag R 勺啪人 Snap心心回 jAUIWeiMOtF 31图3-1 QUARTUS软件的启动界面点击File - New Project Wizard创建一个新工程,系统显示如图3-2。New Project Wizard; IntroductionThe New Project Wizard helps you create a new project and preliminari project settings, including the fo

8、llowing: Project name and directory Name of the top-level design entity Project files and librarie$ T ar get device family and device EDA tool settingsYou can chnqe the settings for an exislinq project and specifv additional project-wide settings with the Settings command (Assignments menu). You can

9、 use the various pages of the Settings dialog box to add functionality to the project.Dont show me this introduction again Nt| Finish | 取消 |图3-2工程创建向导的启始页(2)点击Next,为工程选择存储目录、工程名称、顶层实体名等,如图3-3所示;(3)点击Next,若目录不存在,系统可能提示创建新目录,如图3-4所示,点击 “是”按钮创建新目录,系统显示如图3-5所示;(4)系统提示是否需要加入文件,在此不添加任何文件;(5)点击Next,进入设备选择对

10、话框,如图3-6,这里选中实验箱的核心芯片 CYCLONE 系列 FPGA 产品 EP1C6Q240C8;(6)点击Next,系统显示如图3-7,提示是否需要其他EDA工具,这里不选任何 其他工具;(7)点击Next后,系统提示创建工程的各属性总结,若没有错误,点击Finish, 工程创建向导将生成一个工程,这时软件界面如图3-8,在窗口左侧显示出设备型号和 该工程的基本信息等。妙0tOtCtr4M9K0|S-妙日rsion 81Quaru* IIDo*人J. A 询 A. MM” A触 R E, a 35*5 A R /互直IC *1 - .*“ 口 ltOXkX4|* -Sum n图3.3

11、输入工程名称、存储目Quartus IIDirectory He:/testM does not exist. Do you want to create it?否 |图3.4提示是否创建新文件夹bAitr【蓝6Picket. .VltvQfcMftJll sK A *s A gg*q .,, A 诚*J.E/ tj|i图3.5提示是否添加文件图3.6芯片型号选择图3-7提示是否利用其他EDA设计工具夹T ci OWMOumitil Wnrw&Ortme 快,MX二I um|rJ ?rfcHI - H 9 n3MxA-C WttTTUvTna, . 1仲,0/,*New创建一个设计文件,系统显

12、示如图3-9;应区New Quartus II Project外SOPC Builder System-Design Files! kAHDLFileBlock Diagram/Schematic Filejj EDIF Fileh State Machine FileI! SstemVerilog HDL FileI TgI Script FileVerilogHDL FileVHDL FileMemory FilesH exadecimal (I ntel-Format) File;Memory Initialization File- Verification/D ebu ggi ng

13、FilesI n-System S ources and Probes Filej Logic Analyzer Interf-ace FileSignal!ap II Logic Analyzer FileL Vector Waveform File白 Other Files=AHDL Include FileBlock Symbol FileChain Descriplion FileI Synopsy$ D esign ConstraintsFilevOK Cancel I图3.9创建一个设计文件2)选择设计文件的类型为VHDL File;3)点击OK,系统显示如图3-10,窗口右侧为V

14、HDL的编辑窗口。 F:沁.Prqect Awgnncrt,标Tooh Wrdvw Help. & xjoatrio s函。|浏M16r hc !4 C科 5 inOHE推 gU6 & I )Q Qu如1 - cgkwQ;31/qug/c心口畋ourt:】6 - countlC - dl.sido 。 SFroxrt3xxarItigia EU |tC 血“7赫IntiiyERTXtt_;fly Fitter ?!ut.) Ajaaltf rwut & fil./ciciTuiric sdm,3J- EU Netlivt rritacTjrc5 , Syctcn ,f由宜乙IFor Help,

15、 g,FlIlnLCollP* I iS 曼 * X5图3.10新建的一个VHDL源文件的编辑窗I I4) 在编辑窗口中编辑以下程序:library IEEE;use IEEE. STD LOGIC 1164. ALL;use IEEE. STD_LOGIC_ARITH. ALL;use IEEE. STD_LOGIC_UNSIGNED. ALL;entity count10 isPORT (cP,r:IN STD_LOGIC;q:0UT STD_LOGIC_VECTOR(3 DOWNTO 0); end count10;ARCHITECTURE Behavioral OF countlO I

16、SSIGNAL count:STD_LOGIC_VECTOR(3 DOWNTO 0) BEGINPROCESS (cp,r)BEGINif r=O then count=,1111,?;elsiF cpEVENT AND cp=1 THENif count=1001 THENcount =0111;elsif count=0111 THEN count=0010;ELSE count = count-1;END IF;END IF;END PROCESS:qStail Compilation编译该文件,系统将开始编译,结束后,给出 提刀信息和编译结果,如图3-12所刀:Id/cuait ID

17、cunt 10-ex。队。妙iiti*9 ru ”3 B euc 2j*xutic r(l4 2j Tiic *#dytrZ 0. gs,CA & u| O CxBwialDt AE - FlmSWMrF3r伊E (* n .Tejri, ewfciw tafo: Ufo Ufo? tafo: tlXOlZlsk cp* :r&exrA: sax u zotsicttd te 2?5.03 Cz E*twa vcuzca :siatar =O4m(l- ard dsvttrAttEA ssqutar EeMtcfJ) taa foa alack y t &f14 i.iCi KwllL eae

18、fiUtica wpn tye:5:c,*r px?Mdfiuaswj 33 C1aj42c Xialac Aaslystr wv 4&ccaaru2. 0 ;9 0U4K3 n rui cwsroot exxja. gn;“9l 务收向IMIS. E.eH ,aZEME,sg x gns XS,顽 taWK0nQ,rg :C y , “图3-12编译结果显小3-1.3波形仿真(1 )建立时序仿真文件,如图3-13所示,选择“Vector Waveform File”,出现图3-14 的界面,在Name空白处击右键,Liseit-*Insert Node or Bus。图3.14仿真波形显示界

19、面在图3-15中单击些空竺j E WICM2CC9 XAtlO &M OEM#* Tim6*lore PtttdrrI 妙 Ctcki Rrc R*Sw_1 jJxJ 歹vH wewi *UxeIT W 诺kCto 55皿 55CW 3M51 55旧 S5SSWF SpF 0Un;9d 0UsyZ 0Uncistr 0 0Unmcrl k?W MwiXsf9: EartlXe: cckiUuce .*iraiioi Fccol pue firjoiiccdar 8 coSefiaed clu gt/or ?, erztLwXsf9: Zlozk cp* :r&rrAl so lx ;xt:ic

20、td ts 273.03 Cz twtvwa Bo-jrc* ftatatard 4rttrAttcn rvsutar eyittrS)Efoi C8 f4* AUck b 4axtBAttA pin MPI, tJug、t*j1*t* 0(01, “ . stld tjt t | gaw*w*rtM XI G./alt4va/a!/urtu/cMmt IO/c4UAt 10 cxnt 10(Vavraal. wf)OGkQ9 dFJJSiiXX/9&必 brpOh & 3sg /.火”xi5)Ms /Iiw tr0nrcxccia !lL&cUr. ax 心 Aa 1!乙 ffamrq: i

21、itniriji Cxea ot or心:Zrtuciof StodaorX VMnir3i CMBElm af Slte at 3u Jg 3汕-q(tra F广1 IC 1LiO2i41 2(13 CaiUa. felleo. faiua. fUUA.:11 cates 84 sr sox CpllCAiet Mlt9 txt IW plicatl ado srv tot UCAtAt &34M ” B&t;nw:t4d.:nw:td. “4,3岫 C itole oc Qcc orWJ * tutwimrmjuraxiKJ.ftlreM/ u?w.Alrwd/ xi*u.XHau OS

22、用Al JLl k- 酎w*刃:液匕l&lKfn. re MKSXB . Qwu a - CI.,G / . n |l 切m .mgeimi S. wg .LWTTiii图 3-16在图3-16中单击一侦或_,再单击边 fOKOK。如图3-17所示: Wrtu4 XI 2;/*lt4ra/BI/uKtua/cMntl(l/c4u.t ID cnt IU (Vvront. rvf J暴*飘 1 凶 A Eg* 入 H)E *”叫0 4 g. A入A lshMQH2双始C: Qwu - k.a缸心cg - 匕临 KE5- 4.O :t a,上亿图 3-17将仿真文件存盘时,过程与代码存盘一样,文件

23、名字必须与顶层文件同名,即countlO, 默认即可。图3-18是为仿真输入赋值的。如想赋值,单击志(2 )单击菜单进行仿真。ProcGssingTools Window HelpStop ProcessingCtrl+Shift+CA Start Comp il at ion/ AtiaLjzz色 Cni-r-antStartCtrl+LUpdate Memory Ini tLLLzation FileCompilation ReportCtrl+RSiart Compilation and.QnCtrl+Shit+KGenera.te Functional Simulation Ketli

24、stStart Simulati onCtrl+ISimulation DebugSiiriula.tioTi ReportCtrl+Shift+RCmpil色v ToolSimul at or Tool於J Classic Timing AiLalyz.Gr Tool折 PowerPLay Power Analyzer T。中L仿真结果如图3-20。XIc/alt4rft/BI/UArtu/cwnt Id/cu&t 10 cont ID ISiaalAtin Kop*rt SiaulM ia VaIc lCtF4M0tfVq E rPICW2C 、XAtl& &510 2j|&lr.tm f

25、 Le|C Vatk n-, nw Satti-多_3“7.5alt4 火S, 久3,SilEx It: Line妙 3*KnA。crlO-A48 JMiiiaB fhpart SUiaBWlM3ltQK2 M 4*t O. MI b. V :nfc: Ll3 r&l: ZcaiUtisa *t Jen Jen IC :0:34;:C 2tl: SV-f7 :rifewnewt ax M 1lex :、I :bfoi 0t18 1 oarzALM JTM ID CtMUMUi、f :nfo CotflTarstteo oo4ed 1 4vi?iri ccefsjrvd、, We: 2u:cja

26、faUy prfccad cpc&LLsc.|j|, :龄 taj pwke ergg x g J le ioino 2(13似 rcee ). ; HauToU M| |mrmTnirrwTTn?图3-20仿真波形图3.1.4仿真结果分析由仿真波形图可以清楚地看到在一个周期之内,即由小到大,依次完成了四位二进 制减法计数的功能。其中由于缺了 0011, 0100, 0101, 0110, 1000五个状态,即 缺了十进制数中的3, 4, 5, 6, 8四个数,在波形仿真中,在这儿个状态处发生跳变, 即由0010跳到0111,再由0111跳到1001,然后由1111直接跳回到0000,即完成一

27、个 周期的计数,由此循环往复。3.1.5引脚锁定与下载左 上 侧 Assigmnent选 项中选Pins ,给 下 侧 Location分配引脚:cp-PIN_28 q3-PIN_98q2-PIN_99 q2-PIN_100 ql-PIN_101 r-PIN_58oV Quf,* aNbESL/QusjYccvnl&couEG ccwtlb (PrRX |Rr5K3.J-* LVTTl:4rA bJ-=zd 心图3-21锁定管脚界面锁定管脚之后,右键点击ToolsProgrammer,如下图3-22所示。图3-22卜.载界面如图,点击start,右上角progress框显示100%,即下载成功

28、。3.2 Multisim实现过程(电路设计)3.2.1求驱动方程相关结构示意框图和状态转换图见上(二)所示步骤。选择四个时钟脉冲下降沿触 发的JK触发器,因要使用同步电路,所以时钟方程应该为CPo=CP=CP=CP=CP (1)求输出方程23 2; 0001111000000001XX0X1100CD010X000图 3.2.1由图3.2.1所示卡诺图可直接得到输出方程C=G00”0(2)求状态方程由所示状态图可直接画出如图3.2.1所示电路次态0申Q+qSQ户的卡诺图,再分解开便可以得到如图322所示各触发器的卡诺图。 2; 2;000111100011110000XXXX000101XX

29、XXXXXX0010XXXX11101111001110110110XXXX011110101001图322次态中0* Q广的卡诺图将上述卡诺图对应拆成四个卡诺图,分别求出0*、0*、皿刊表达式如下所示:2f Q;2s 2; 0001111000八0X001XX0X11-110V0V:(a)中的卡诺图e; Qo00011110000X001uX0X11010Xu00(b)Q;*的卡诺图E(c) 0*的卡诺图图3.2.3各触发器的卡诺图(1) 根据卡诺图进行相应化简即得到状态方程,如下:or1 =Q3 Q? +Q3 Q? +Q3 Q?而耳毫oj 厨+Q?Q?+Q?Q?q?+1=qj q3+-q|

30、q?Qo+-q|q?QoQ,+顷(3)求驱动方程由于JK触发器的特性方程为Q* = JQ+KQn,用状态方程与特性方程做比较,可得对应驱动方程,如下:JK触发器的特性方程为。职=JQ + KQ与特性垄丝吃可得【3火逗-QJ奄Is =k? = Q; QqJo = k。=1检查电路能否自启动:把无效状态0011, 0100, 0101, 0110,和1000带 入输出方程和和状态方程进行计算,结果如下:00111001010010110101100101100001可见,在输入计数脉冲CP操作下,都能回到有效状态,即电路能够自启动。3. 2.2画逻辑电路图根据所选用的触发器和时钟方程、输出方程、驱

31、动方程,便可以画出如图3.2.4所 示的逻辑电路图。*咂二斐切法计第0的7|图3.2.4逻辑电路图毛V生&U2 一 兀,笠日缶13丫67r3. 2. 3逻辑分析仪的仿真银分忻仪XLA:L日寸间SOSO.OOOm60.000m5O.OOOm 12O.OOOm 150.000m23| I ::|: I !I!:LJT-erm TT-CX31 EHerm mTarp IO111:2Herm 侦丁graa 14MT-erm 1 SrininiHiminimnanmininHnnainininanminininim5 I!:!:T2-T10.000 :s000014a.30 1 m I 00 15 1

32、4.301 nis日寸半中日寸全市S/Div | 15.I夕卜接1 L甬虫发图3.2.5逻辑分析仪的仿真3. 2. 4仿真结果分析Multisim是一种虚拟仪器,可以用来验证电路设计的正确性。根据相关计算,得出 时序电路的时钟方程、输出方程,状态方程、驱动方程,从而选择合适的触发器来连接 实现。在本设计中,选用四个时钟脉冲下降沿触发的JK触发器来实现四位二进制减法 计数器。在逻辑电路图中,四个小红灯即为显示器,从左到右显示时序图中的十二种状 态,其中,灯亮表示“1”,灭表示“0”,从而达到计数目的。由于其中缺了 0011, 0100, 0101, 0110,五种状态,所以在计数过程中会 发生跳

33、变,即先从0011跳到0111,再从0111跳到1001,然后由1111直接跳回到0000, 循环往复。逻辑分析仪类似于QuamisII环境下的波形仿真,是对计数器的另一种直观 的描述。其中,高电平表示“1”,低电平表示“0”,也可以对计数器的功能进行测试 和检验。设计总结在本次数字电路课程设计之中,我下载了 Quartusll和Multisim软件,花费许多 时间回顾课本知识以及查阅资料,在两种环境下反复进行练习。由于是第一次接触数字 电路的课程设计,所以我一开始对于相关设计过程和分析方法并不太熟练。没有形成一 种逻辑分析技巧,但经过我反反复复的练习和计算,最终还是熟练掌握了对此的计算步 骤

34、以及设计方法,这一点很值得欣慰,通过本次数字电路课程设计,让我对数字电路设 计有了更高层次的认识和掌握,我想这对于我以后学习相关的课程以及进行类似的设计 操作会有很大的帮助,为我更深一步掌握数字电路奠定了很好的基础。在初步设计过程中,我也出现了各种各样的问题,有些是由于我的粗心引起的,还 有一些是因为我没掌握好数字的相关知识,比如在设计过程中求驱动方程,由于知识掌 握不扎实出了好儿次错误,但通过我不懈的努力和耐心的练习,最终求出了驱动方程, 画出了逻辑电路图并且测试成功,还较好地掌握了数字电路的知识,并且很快完成了数 字电路课程设计,顺利的通过了考核。由此,我体会到,对于数字电路课程设计先要掌

35、 握好课本上的知识,还要有反复练习和理解相关原理的坚持和耐心,最终才会顺利完成 本次课程设计。通过本次数字电路课程设计,让我掌握了好多以前不知道的知识,这些收获一定会 对我以后的学习和操作有很大的帮助。五、参考文献(1)阎石 主编。数字电子技术基础(第5版),北京:高等教育出版社,2006. 05o(2)余孟尝主编。数字电子技术基础简明教程,北京:高等教育出版社,2006. 07o(3)候建军编。数字电子技术基础(第2版),北京:高等教育出版社,2007.12。(4)李春茂 改编。电子技术基础-Electronics (英文改编版),北京:电子工业出版 社,2005. 08o(5)弗洛伊德(Floyd, T. L.)(美)著,余珍改编。Digital Fundamentals (Ninth Edition),北京:电子工业出版社,2008.05。(6)罗杰 主编,电子技术基础(数字部分 第5版)习题全解,北京:高等教育出版 社,2008. Io

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号