ispLever使用教程.ppt

上传人:牧羊曲112 文档编号:5435624 上传时间:2023-07-06 格式:PPT 页数:58 大小:1.91MB
返回 下载 相关 举报
ispLever使用教程.ppt_第1页
第1页 / 共58页
ispLever使用教程.ppt_第2页
第2页 / 共58页
ispLever使用教程.ppt_第3页
第3页 / 共58页
ispLever使用教程.ppt_第4页
第4页 / 共58页
ispLever使用教程.ppt_第5页
第5页 / 共58页
点击查看更多>>
资源描述

《ispLever使用教程.ppt》由会员分享,可在线阅读,更多相关《ispLever使用教程.ppt(58页珍藏版)》请在三一办公上搜索。

1、1、VHDL的文本方式设计,ISPLEVER 软件的使用方法,点击程序Lattice Semiconductor ispLEVER Project Navigator,点击File New Project,输入项目名,选VHDL,点下一步,选器件,点击下一步,点击完成,点击Source New,选VHDL Module,填入文件名、实体名(两者要一致)和结构体名,点OK,输入程序,保存,退出,点击dff1(dff1.vhd),双击Synplify Synthesize VHDL File,源程序编写完成以后是综合,双击VHDL Test Bench Template,双击Generate Sc

2、hematic Symbol,点击器件ispLSI1032E-70LJ84,双击Fit Design,下载,2、VHDL的原理图方式设计,(八)ISPLEVER 软件的使用方法,点击File New Project,选器件,点击下一步,点击完成,点击Source New,后面的操作同前,作业:用VHDL语言:1、设计一个3线8线译码器;2、设计一个上升沿触发JK触发器。,library ieee;use ieee.std_logic_1164.all;entity dff1 is port(clk,d:in std_logic;q:out std_logic);end;architecture

3、 rtl of dff1 isbegin process(clk)begin if(clkevent and clk=1)then q=d;end if;end process;end rtl;,library ieee;use ieee.std_logic_1164.all;entity and_gate isPORT(A,B:IN std_logic;X:OUT std_logic);end;architecture rtl of and_gate isbeginX=A AND B;end rtl;,library ieee;use ieee.std_logic_1164.all;enti

4、ty decoder_38 isPORT(input:IN std_logic_vector(2 downto 0);output:OUT std_logic_vector(7 downto 0);end;architecture rtl1 of and_gate isbeginX=A AND B;end rtl1;,library ieee;use ieee.std_logic_1164.all;entity decoder isPORT(input:IN std_logic_vector(2 downto 0);output:OUT std_logic_vector(7 downto 0)

5、;end;architecture rtl1 of decoder isbegin process(input)case input is when 0=outputoutputoutputoutputoutputoutputoutputoutput=01111111;end case;end process;end rtl1;,library ieee;use ieee.std_logic_1164.all;entity decoder isPORT(input:IN std_logic_vector(2 downto 0);yout:OUT std_logic_vector(7 downt

6、o 0);end;architecture rtl1 of decoder isbegin process(input)case input is when 000=youtyoutyoutyoutyoutyoutyoutyout=01111111;end case;end process;end rtl1;,library ieee;use ieee.std_logic_1164.all;entity ym3_8 is port(a:in std_logic_vector(2 downto 0);y:out std_logic_vector(7 downto 0);end ym3_8;architecture rtl of ym3_8 isbeginprocess(a)case a is when 000=yyyyyyyy=01111111;end case;end process;end rtl;,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号