数码管动态显示电路.ppt

上传人:牧羊曲112 文档编号:6579168 上传时间:2023-11-14 格式:PPT 页数:7 大小:289.64KB
返回 下载 相关 举报
数码管动态显示电路.ppt_第1页
第1页 / 共7页
数码管动态显示电路.ppt_第2页
第2页 / 共7页
数码管动态显示电路.ppt_第3页
第3页 / 共7页
数码管动态显示电路.ppt_第4页
第4页 / 共7页
数码管动态显示电路.ppt_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《数码管动态显示电路.ppt》由会员分享,可在线阅读,更多相关《数码管动态显示电路.ppt(7页珍藏版)》请在三一办公上搜索。

1、数码管动态显示电路设计一、实验目的1.了解数码管的工作原理。2.学习七段数码管显示译码器的设计。3.学习VHDL的CASE语句及多层次设计方法。二、实验原理 七段数码管是电子开发过程中常用的输出显示设备。实验箱中七段数码管采用共阴极,当数码管的中的哪一个段被输入高电平,则相应的这一段被点亮。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。,八个数码管的a、b、c、d、e、f、g、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。这样对于一组数码管动态扫描显示需要有两组信号来控制:一组是字段输出口输出的字形代码,用来控

2、制显示的字形,称为段码;另一组是位输出口的控制信号,用来选择第几位数码管工作,称为位码。,三实验内容 本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。在实验中时,选择合适的时钟作为扫描时钟,用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。,四、参考源程序五、管脚锁定 1.时钟的锁定 2.输入输出引脚的锁定六、实验思考与提高 该实验八个动态数码管显示的数字是同样的数字,它是使用输入端口来指定的,请各位同学思考假设要求8个数码管显示不同的数字或符号,应该怎样做,如何修改程序。,参考源代码library ieee;use ie

3、ee.std_logic_1164.all;use;use;entity dtsm is port(clk:in std_logic;-定义动态扫描时钟信号 k:in std_logic_vector(3 downto 0);-定义四位输入信号 ledag:out std_logic_vector(6 downto 0);-定义七位输出信号 del:buffer std_logic_vector(2 downto 0)-定义八位数码管位置显示信号);end dtsm;architecture beha of dtsm is Signal key:std_logic_vector(3 downt

4、o 0);begin,process(clk)variable dount:std_logic_vector(2 downto 0);begin if clkevent and clk=1 then-检测时钟上升沿 dount:=dount+1;-计数器dount累加 end if;delkeykeykeykeykeykeykeykey=k+0111;end case;end process;,process(key)begin case key is when 0000=ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag ledag null;end case;end process;end beha;,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号