EDA课程设计洗衣机控制器.docx

上传人:李司机 文档编号:7215195 上传时间:2024-06-29 格式:DOCX 页数:21 大小:38.70KB
返回 下载 相关 举报
EDA课程设计洗衣机控制器.docx_第1页
第1页 / 共21页
EDA课程设计洗衣机控制器.docx_第2页
第2页 / 共21页
EDA课程设计洗衣机控制器.docx_第3页
第3页 / 共21页
EDA课程设计洗衣机控制器.docx_第4页
第4页 / 共21页
EDA课程设计洗衣机控制器.docx_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《EDA课程设计洗衣机控制器.docx》由会员分享,可在线阅读,更多相关《EDA课程设计洗衣机控制器.docx(21页珍藏版)》请在三一办公上搜索。

1、东北石油大学课程设计课程EDA技术课程设计题目洗衣机限制器院系电子科学学院专业班级电子信息工程学生姓名学生学号指导老师东北石油高校课程设计任务书课程EDA技术课程设计题目洗衣机限制器专业_电子信息工程姓名学号主要内容、基本要求、主要参考资料等主要内容:设计一个洗衣机限制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒”,定时到则停止,同时发出提示音。基本要求:1、设计一个电子定时器,限制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转2

2、0秒暂停10秒”,定时到则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机:洗涤过程由“起先”信号起先:4、三只1.ED灯表示“正转”、“反转”、“暂停”三个状态。主要参考资料:1潘松著.EDA技术好用教程(其次版).北京:科学出版社,2019.2康华光主编.电子技术基础模拟部分.北京:高教出版社,2019.3阎石主编.数字电子技术基础.北京:高教出版社,2019.完成朋限一2019.3.Z指导老师-专业负责人2014年3月3口一、设计思想1 .基本原理洗衣机限制器的设计主要是定时器的设汁。由一片FPGA和外

3、国电路构成了电器限制部分。FPGA接收键盘的限制吩咐,限制洗衣机的进水、排水、水位和洗衣机的工作状态、并限制显示工作状态以及设定直流电机速度、正反转限制、制动限制、起停限制和运动状态限制。对芯片的编程采纳模块化的VHD1.(硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHD1.的元件例化语句实现。中间层由无刷直流电机限制、运行模式选择、洗涤模式选择、定时器、显示限制、键盘扫描、水位限制以及对直流电机限制板进行速度设定、正反转限制、启停限制等模块组成,它们分别调用底层模块。2 .设计框图11I1IrU-chDF-4.UTECIAJlUlIF?/*/1-t

4、m图1设计框图用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后起先运转,洗涤中按倒计时方式对洗涤过程作计时显示,用1.ED表示电动机的正、反转,假如定时时间到,则停机并发出音响信号。二、设计步骤和调试过程1、模块设计和相应模块代码洗衣机限制器电路主要有五大部分组成,包括:减法计数器、时序限制电路、预置时间和编码电路、数码管显示、译码器组成。(1)预设时间和编码电路:本模块将输入的四位时间信号编码成八位二进制数输出到减法计数器电路。libraryieee;useieee.std_logic_l164.all;useieee.std_logic_unsigned.al1;entitys

5、ettimeisport(1oad:instd_logic;time_input:instd_logic_vector(3downto0)Vtime_set:outstd_logic_vector(7downto0)endsettime;architecturesettimeofsettimeissignalpl:stdlogicvector(7downto0);beginprocess(load)beginif(loadeventandIoad=)thencasetime_inputiswhen0000”=plplp1pK=wOOOOOO11”;when*0100*=plp1pK=wOOOO

6、O110*;when*01ir=plpK=wOOOO1000*;when*1001*=p1pl=00000000”;endcase;endif;endprocesstimeset=pl:endsettime;图2预设时间和编码仿真用K】、K2、K3、K4给time_input输入一个二进制数Olli.让Ioad有效,输出timeset为00000111。(2)减法计数器模块:由于洗衣机有工作时间,必需要一模块来限制它的工作时间范围,当洗衣机起先工作后,减法计数器即会实现减数功能,宜到时间减到零,洗衣机便停止工作。当出现系统运行结束信号time_over时,蜂鸣器报警洗衣机工作结束。1ibrar

7、yieee:useieee.std_logic_l164.al1;useieee.std_logic_unsigned.all:entitycounterisportelk,start:instd_logic;time_set:instd_logic_vector(7downto0):timeremainzbufferstllogicvector(7downto0)timeover:bufferstdlogicendcounter;architecturecounterofcounterisbeginprocess(elk)variabletime_second:integerrange0to

8、59:=59;beginif(clk,eventandclk=)thenif(start=*0)thenif(time_remain(7downtothentime_remai11=time_set;elsetime_remain(7downto4)=timc_reniai11(3downto0);time_remain(3downto0)=timeset(3downto0);endif;timesecond:=59;time_over=,;elseif(time-over三,)thenif(time_second=0andtimeremain(7downto0)=0)thentimeover

9、三,0;elseif(timesecond=0)thenif(time_remain(3downto0)=0)thentime_remain(7downto4)=time_remain(7downto4)-1;Iimcjemain(3downtoOX=zr100l*;time_second:=59;elsetime_remain(7downto4)=time_remain(7downto4);timeremain(3downto0)thenchoose=notchoose;if(choose=*)thenminute=,0,;second=,;bcd=timeremain(7downto4);

10、elseminute,;SeCOnd=0;bcdtcmptcmptemptemptemptemptemptemptemptemptemp=z,1111011*endcase;a=temp(6);b=temp(5);c=temp(4);d=temp(3):e=temp(2);f=tem(l);g=temp(0)endprocessendshowtime图4数码管模块仿真(4)时序电路模块:接收运行起止信号,支配电机运行状态并编码输出libraryieee;useieee.std_logic_l164.al1;USeieee.std_logic_unsigncd.all;entityanalyse

11、isport(elk,start,time_over:instd_logic;out_l,out_2:outstdlogic);endanalyse;architectureanalyseofanalyseisbeginprocess(elk)variablestate:std_logic;variablewashtime:integer:=0;variablewait_time:integer:=0;beginif(clk,eventandClk=I)thenif(start,0,)thenWaSh_time:=0;waittime:=0:state:=*0;out1三,0,;out2,0)

12、;elseif(time_over=,)thenif(wash_timc=2O)thenif(wait_time=10)thenwashtime:=。;state:=notstate;elseWait_time:=Wait_time+1;endif;elsewash_time:=washtime+1;waittime:=。;endif;endif;if(wash_time=20)thenout_l=,0,:out_2=O;elseif(state=O)thenout_l=T;out_2=0;elseoutK=0;out_2=;endif;endif;endif:endif:endprocess

13、;endanalyse:图5时序电路模块仿真:(5)译码器模块:接收电机运行状态信号,译码后实时限制电机的正传、反转和暂停。libraryieee;useieee.std_logic_l164.al1;entitymoveisport(out_l,out_2:instd_logic;REV,RUN,PAUSE:bufferstd_logic);endmove:architecturemoveofmoveissignalchoose:std_logic_vector(1downto0);beginchoose(1)=out_l;choose(0)REV=0,;RUN=O;PAUSEREV=O:R

14、UN=1,;PAUSEREV=;RUN=0;BAUSEREV=0,;RUN=*0,;PAUSE;endcase;REV=out2;RUN=out1;PAUSE=not(out1orOUt_2);endprocess;endmove;图6译码器模块仿真:2、仿真及仿真结果分析当预置号时间,启动SIart,数码管显不预置时间,电机起先以正转=暂停=反转=暂停为周期进行循环,一个周期正好费时一分钟,一个周期结束,数码管显示减一,依次循环,直至数码管显示时间为零,洗衣结束。UnXnnnMRgrAnwwnnAnAMrwyrurvvvnMfHMnAnAnMMmnMwnAnfuvwvwifmnmFW1.rm

15、TInJtrwmmjr1.njrmnjnjjnrvtrm/UVinJTAjir1.njxnjmruwtnjTnrr1.mmr1.mTrumr-u-IijncrcG.nrt117XXXXXXXXXXXXXXXXXX)XXXXXXXXXXX)图7总体仿真3、试验调试结果电路设计完成以后,依据预定设计,输入相应数据,三只1.ED灯依据设定时间规律间断性亮起,数码管也显示输入时间并按减数计时产生相应的数字显示,内到到达预定时间停止工作显示零,试验设计达到预期效果。三、结论及心得体会通过这次课程设计我对FPGA的编程更加热识,对定时器和计数睇的设计,让我更加明白时序组合门电路设计思路和方法。而且自己独立

16、思索及设计,使我初步驾驭了VHD1.的设计方法及一些技巧。通过这个试验设计,我更加娴熟地驾驭了一些常见的数字芯片的设计方法,在设计中也参考和查阅了许多资料,从中学到不少课本上没有的东西。只有把所学的理论学问及实践相结合起来,从理论中得出结论,才能真正地更好去理解学问,从而提高自己的实际动手实力和独立思索的实力。参考资料1潘松著.EDA技术好用教程(其次版).北京:科学出版社,2019.2康华光主编.电子技术基础模拟部分.北京:高教出版社,2019.3阎石主编.数字电子技术基础.北京:高教出版社,2019.4谭会生,张昌凡EDA技术及应用.西安:西安电子科技高校出版社,2019.5潘松,黄继业.

17、EDA技术好用教程.北京:科学出版社,2019.宋万杰,罗丰,吴顺君.CP1.D技术及其应用.西安:西安电子科技高校出版社,20197张昌凡,龙永红,彭涛.可编程逻辑器件及VHD1.设计技术.广州:华南工学院出版社,2019东北石油高校课程设计成果评价表课程名称EDA技术课程设计题目名称洗衣机限制器学生姓名学号指导老师姓名职称序号评价项目指标满分评分1工作量、工作看法和出勤率按期圆满的完成了规定的任务,难易程度和工作量符合教学要求,工作努力,遵守纪律,出勤率高,工作作风严谨,擅长及他人合作。202课程设计质量课程设计选题合理,计算过程简练精确,分析问题思路清楚,结构严谨,文理通顺,撰写规范,图表完备正确。453创新工作中有创新意识,对前人工作有一些改进或有肯定应用价值。54答辩能正确回答指导老师所提出的问题。30总评语:指导老师:月10日2019年3

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号