数字电路EDA课程设计数字跑表.docx

上传人:小飞机 文档编号:3558995 上传时间:2023-03-13 格式:DOCX 页数:5 大小:38.71KB
返回 下载 相关 举报
数字电路EDA课程设计数字跑表.docx_第1页
第1页 / 共5页
数字电路EDA课程设计数字跑表.docx_第2页
第2页 / 共5页
数字电路EDA课程设计数字跑表.docx_第3页
第3页 / 共5页
数字电路EDA课程设计数字跑表.docx_第4页
第4页 / 共5页
数字电路EDA课程设计数字跑表.docx_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《数字电路EDA课程设计数字跑表.docx》由会员分享,可在线阅读,更多相关《数字电路EDA课程设计数字跑表.docx(5页珍藏版)》请在三一办公上搜索。

1、数字电路EDA课程设计数字跑表EDA课程设计报告书 题目: 数字跑表 姓名: 班级: 学号: 成绩: 一、设计题目及要求 设计题目:数字跑表 要求:1 具有暂停,启动功能。 2 具有重新开始功能。 3 用六个数码管分别显示百分秒,秒和分钟。 二、设计过程及内容 拿到题目后,我在图书馆进行了相关书籍的查阅,首先明确了题目中设计项目要实现的功能,再进一步确定实现其功能的组成部分和使用器件,对于本次设计的总体思路,首先是设计一个控制模块,可以使跑表具有启动、暂停及重新开始的功能;然后,利用一个分频模块即15进制计数器得到100HZ的时钟脉冲,接入到一个100*60*60三个计数器的模块中,完成对时间

2、的计时工作和对选时模块的输出工作,使选时模块得到对应的时间,其次将选时模块与显示模块连接,使数码管显示选中的当前时间,从而完成了这次课程设计的设计工作,进入到实现过程中去。 根据课程设计要求将设计分为5个模块: 1、控制模块,使跑表具有启动、暂停及重新开始的功能; 2、分频模块,用于得到频率为100HZ的时钟脉冲; 3、计时模块,进行时间的计时,同时将当前时间输出给选时模块; 4、选时模块,从计时器得到当前时间输出给显示模块; 5、显示模块,进行时间的显示。 总图如下: 2 第一个模块:控制器模块 与门可控制时钟信号的输出与否,当跑表为START状态时CLK端为高电平,QA为1,时钟信号输出,

3、当跑表为STOP状态时CLK端为低电平,QA为0,时钟信号不输出,从而实现开始和暂停的功能。REST是清零按钮,REST接到控制模块和总计时器模块的清零端,当REST为高电平时,控制模块和总计数器模块清零,跑表重新开始工作。 第二个模块:分频器模块 将74161接成15进制计数器,将1465HZ的时钟频率转换成近似于100HZ的时钟信号即所需的输入时钟信号,从而实现分频功能。将得到的时钟信号输入到总计数器模块中去。 3 第三个模块:计时模块 计时模块 本模块由两个60进制计数器和一个100进制计数器构成,从而实现百分秒向秒、秒向分的计数功能需求。60进制计数器及100进制计数器均采用两个74L

4、S160,采用整体置数方式接成。从60进制计数器和100进制计数器这三个输出端分别印出八个端口,将当前时间编码传送给选时模块,实现时间的选择和显示。(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.) 100进制计数器 4 60进制计数器 第四个模块:选时模块 本模块由四个八选一数据选择器74LS151和地址选择器74LS161构成。 地址选择器74LS161接入一个1465 HZ的时钟信号,使能

5、端和清零端接高电平,使其循环工作,产生一组循环地址码A、B、C,接到数码管的地址端,使其循环显示数字。同时,地址选择器74LS161产生的一组循环地址码接入到四个八选一数据选择器74LS151上,使其对地址相同的一组数据进行选择,产生四个二进制数A0,A1,A2,A3,即为数码管所要显示的数字的编码。 第一个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的最低位, 第二个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的次低位, 第三个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第二位, 第四个74LS151上的输入端为秒、分、百分秒个位及十位

6、的四位二进制的第一位,通过这四个八位二进制数比较器74LS151选出同一组数(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)作为输出A0,A1,A2,A3,接到显示模块输入端。 5 选时模块 第五个模块:显示模块 本模块采用BCD七段显示译码器7448对实验板上数码管进行驱动。由选时模块输出的显示数字编码A0,A1,A2,A3接至输入端A,B,C,D,使输出端产生七位译码连接到实验箱公共数据输

7、入端ABCDEDG,从而进行数据的显示。 显示模块 三、设计结论 两周的课程设计很快就结束了,虽然时间很短,但是收获颇丰。通过这次课程设计,我学到了许多关于EDA的知识,认识到了EDA的强大功能,更重要的是增强了我的实践动手能力,使我深刻地认识到仅仅学习课本上的知识是远远不够的,必须要多多动手,多多实践,才能真正理解并掌握所学的知识,达到学以致用的目的。同时我也深深地感受到严谨的态度对于科学研究的重要性。由于在设计的过程中,一点点的马虎都可能造成整个系统的瘫痪,所以每一个细节都要认真思考,认真操作,不能有丝6 百分的大意。这使我认识到要想做一个科研工作者是多么的不易!自己身上的缺点还有很多,要靠以后艰苦的努力来克服! 这次的EDA课程设计给了我一次非常重要也非常难得的实践机会,使我可以将平时课本上学习的理论知识应用于实际操作。设计的过程是十分艰苦的,由于从未接触过类似的领域,所以刚开始的时候一片茫然,不知道该干些什么。随着研究的逐渐深入,自己渐渐的摸出头绪,掌握了一些规律和方法,设计的成果也逐步成型,最终按照要求完成了设计。在实际操作的过程中,碰到了许多的困难,但最终在老师的耐心指导和同学的热情帮助下,按时完成了任务。在此对老师和同学们表示衷心的感谢! 最后感谢老师给与我这次宝贵的实践机会! 7

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号