[优秀毕业论文]基于可编程逻辑器件的三相正弦波脉宽调制信号发生器.doc

上传人:仙人指路1688 文档编号:3932487 上传时间:2023-03-28 格式:DOC 页数:49 大小:1.26MB
返回 下载 相关 举报
[优秀毕业论文]基于可编程逻辑器件的三相正弦波脉宽调制信号发生器.doc_第1页
第1页 / 共49页
[优秀毕业论文]基于可编程逻辑器件的三相正弦波脉宽调制信号发生器.doc_第2页
第2页 / 共49页
[优秀毕业论文]基于可编程逻辑器件的三相正弦波脉宽调制信号发生器.doc_第3页
第3页 / 共49页
[优秀毕业论文]基于可编程逻辑器件的三相正弦波脉宽调制信号发生器.doc_第4页
第4页 / 共49页
[优秀毕业论文]基于可编程逻辑器件的三相正弦波脉宽调制信号发生器.doc_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《[优秀毕业论文]基于可编程逻辑器件的三相正弦波脉宽调制信号发生器.doc》由会员分享,可在线阅读,更多相关《[优秀毕业论文]基于可编程逻辑器件的三相正弦波脉宽调制信号发生器.doc(49页珍藏版)》请在三一办公上搜索。

1、摘 要在工农业生产中广泛使用三相交流异步电动机。三相交流异步电动机的调速技术主要采用交-直-交变频调速技术。交-直-交V/F控制变频调速通常采用了正弦波脉宽调制(SPWM)技术,通过改变SPWM的调制正弦波的频率和电压实现电机的变频调速。研究如何利用大规模可编程器件FPGA产生SPWM具有重要意义。基于FPGA的三相SPWM发生器具有低成本,高灵活性,高可靠性,高可扩展能力等优点,因此可以与其他功能模块或IP芯核相结合应用在低成本的正弦驱动场合。设计了基于FPGA的对称规则采样和不对称规则采样的三相SPWM发生器模块,其开关频率至少可以达到50kHz,调频范围2kHz以上,死区时间和调制比均可

2、调,并通过了仿真验证了不对称规则采样的输出特性要明显优于对称规则采样。采用分时操作的方法就可以应用较低成本的FPGA达到上诉性能要求,因此该模块与转子位置预估等功能模块相结合应用于无刷直流电动机飞简易正弦驱动场合。关键词:FPGA;SPWM;EDAAbstractIn the industrial and agricultural production in the widely used three-phase AC induction motor. Three-phase AC induction motor speed control technology is mainly made

3、of AC-DC-AC inverter. AC-DC-AC V/F control of variable speed is usually used sine-wave PWM (SPWM) technology, by changing the SPWM modulation sine wave frequency and voltage for motor frequency.Study how to use large-scale FPGA programmable devices produce SPWM. Three-phase based on FPGA SPWM genera

4、tor has a low-cost, high flexibility, high reliability, high scalability, etc., and other functional modules or IP cores combined application in low-cost sine-driven applications.The symmetric and asymmertric regular-sampled three-phase SWPM generator modules based on FPGA are designed ,with the swi

5、tch frequency up to 50KHz at least, the range of the modulated frequency not less than 2KHz and the adjustable characteristic of asymmetric regular-sampling is much better than of symmetric regular-sampling.The above perfoumance index of the SPWM module can be realized on a low cost FPGA through tim

6、e-sharing operation,so it applies to the simple-sine-wave driving of BLDCM(brushless DC motor)with rotor position estimation module and other modules.Keywords:FPGA;SPWM;EDA目 录摘 要IABSTRACTII第1章 绪论11.1 课题背景11.2现代EDA技术概述21.3 EDA设计思想在电机控制方面的意义5第2章 方案选择72.1 PWM技术的分类72.2 SPWM实现方法的选用82.2.1采用离散电路实现SPWM82.2.

7、2采用集成电路实现SPWM82.2.3对称规则采样法SPWM模块的方案设计92.2.4不对称规则采样SPWM模块的方案设计11本章小结13第3章 三相SPWM脉宽调制模块的实现143.1同步控制单元143.2分时查表单元143.3占空比计算单元153.4 SPWM生成单元163.5 死区发生器163.6 SPWM模块的整体17本章小结18第4章 仿真结果及分析194.1 同步控制单元调试194.2 分时查表单元调试194.3 占空比计算单元调试204.4 SPWM生成单元调试204.5 死去发生器调试214.6 SPWM模块的仿真及其分析21本章小结22结 论23致 谢24参考文献25附录1

8、程序27附录2 中文参考资料40附录3 英文参考资料43第1章 绪论1.1 课题背景在工农业生产中广泛使用三相交流异步电动机。三相交流异步电动机的调速技术主要采用交-直-交变频调速技术。交-直-交V/F控制变频调速通常采用了正弦波脉宽调制(SPWM)技术,通过改变SPWM的调制正弦波的频率和电压实现电机的变频调速。SPWM(Sinusoidal PWM)法是一种比较成熟的,目前使用较广泛的PWM法。冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。SPWM法就是以该结论为理论基础,用脉冲宽度按正弦规律变化而和正弦波等效的PWM波形即SPWM波形控制逆变电路中开关器件的通断,使其

9、输出的脉冲电压的面积与所希望输出的正弦波在相应区间内的面积相等,通过改变调制波的频率和幅值则可调节逆变电路输出电压的频率和幅值。FPGA是英文Field Programmable Gate Array(现场可编程门阵列)的缩写,它是在PAL,GAL,PLD等可编程器件的基础上进一步发展的产物,是专用集成电路(ASIC)中集成度最高的一种。FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部可配置逻辑模块CLB(Configurable Logic Block),输出输入模块IOB(Input Output Block)和内部连线三个部分。用户可以对FPGA内

10、部的逻辑模块和I/O模块重新配置,以实现用户的逻辑。它还具有静态可重复编程和动态系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改。作为专用集成电路(ASIC)领域中的一种半定制电路,FPGA既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。在PCB完成以后,还可以利用FPGA的在线修改能力,随时修改设计而不必改动硬件电路,使得FPGA来开发数字电路,可以大大缩短设计时间,减少PCB面积,提高系统的可靠性。研究如何利用大规模可编程器件FPGA产生SPWM具有重要意义。基于FPGA的三相SPWM发生器具有低成本,高灵活性,高可靠性,高可扩展能力等优点,因此可以与其他功能模

11、块或IP芯核相结合应用在低成本的正弦驱动场合。1.2 现代EDA技术概述 EDA(Electronic Design Automation)即电子设计自动化。EDA技术是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。EDA工具是以计算机的硬件和软件为基本工作平台,集数据库、图形学、图论与拓扑逻辑、计算数学、优化理论等多学科最新成果研制的计算机辅助设计通用软件包,主要能辅助进行三方面的设计工作:IC(Integrated Circuit)设计、电子电路设计和印刷电路板PCB(Printed Circuit Board)设计。EDA是电子设计技术的发展趋势,

12、是现代电子设计技术的核心,利用EDA工具可以代替设计者完成电子系统设计中的大部分工作。目前,EDA技术的开发工具包括编辑器、仿真器、检查/分析工具和优化/综合工具等。编辑器的主要功能是用来对设计输入进行图形活着文本等方面的编辑操作,他通常包括图形编辑器、文本编辑器和波形编辑器。其中,图形编辑器用来编辑表示器件的几何图形、电子系统的框图以及原理图等;文本编辑器在系统级上用来编辑电子系统的自然描述语言,在其他层次上主要用来编辑电路的硬件描述语言文本;波形编辑器的主要作用是用来编辑电子系统的仿真波形。在EDA技术中,仿真是一项非常重要的技术,采用仿真可以在开发设计的早期阶段发现设计中的错误,这样便可

13、以大大减少设计重复和修改的次数及时间,从而提高了设计人员的工作效率。检查/分析工具用来对设计人员的具体设计进行编译、检查和分析,目的是发现设计中的错误和对可能的结果进行分析。通过检查/分析工具产生的各种报表文件,设计人员可以对设计系统的错误、系统性能以及相应的各种时序关系等有一个清楚的认识,从而能及时发现设计中的错误和检查系统性能能否满足设计的要求。优化/综合工具用来完成优化功能和逻辑综合功能的一种开发工具。其中,优化功能是指根据布尔方程功能等效的原则,采用不同的优化方案来对设计进行优化操作,从而提高设计系统的性能和占用较少资源;逻辑综合功能是将抽象描述转化成电路网表或者是一组逻辑方程的形式,

14、目的是方便设计系统的具体电路实现。 现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。EDA技术代表了当今电子设计技术的最新发展方向,主要采用并行工程和“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路ASIC (Application Specific Integrated Circuit)实现,然后采用硬件描述语言HDL完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。 1.“自顶向下”的设计方法10年前,电子设计的基本思路还是选择标准集成电路“自底向上”(Bottom-Up)的构造出一个新的系统,这样的设计方法

15、如同一砖一瓦地建造金字塔,不仅效率低、成本高而且还容易出错现代EDA技术采用并行工程和“自顶向下”(Top-Down)的设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL, VerilogHDL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,然后用综合优化工具,生成具体门电路的网表,其对应的物理实现级可以是PCB或ASIC。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了功能仿真的工作量,提高了设计的一次成功率。2. ASIC设计现代电子产品

16、的复杂程度日益加深,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用专用集成电路ASIC进行设计。ASIC按照设计方法的不同可分为:全定制ASIC,半定制ASIC,可编程ASIC(即可编程逻辑器件)。设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家掩膜制造完成优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低缺点是:开发周期长,费用高,只适合大批量产品开发。半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方

17、法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间对于某些产量不大或者不允许设计时间过长的产品,采用半定制法比较适宜。可编程逻辑器件PLD是FDA技术将电子设计的电路功能和技术指标具体实现的硬件载体。其中ASIC器件是最终的物理平台。而现场可编程逻辑门阵列FPGA (Field Programmable Gate Array)和复杂可编程逻辑器件CPLD(Complex Programmable Logic Device)是目前使用最为广泛的主流产品,它们面向用户,具有极大的灵活性和通用性,成为可编程专用IC,允许用户“在系统中”编程ISP (In System Programma

18、ble)和修改逻辑,给使用者提供了在不修改系统硬件的条件下重构系统的能力和硬件升级能力,使硬件修改变得像软件修改一样方便。当产品定型和产量扩大后,可将在生产中得到充分检验并经多次修改完善的电子设计迅速地进行ASIC投产。3.硬件描述语言采用硬件描述语言HDL进行电路与系统的描述是当前EDA技术的一个特征。与传统的原理图设计方法相比较,HDL语言更适合于描述大规模的系统,它能够使设计者在比较抽象的层次上对所设计系统的结构和功能进行描述。采用HDL语言设计有以下突出优点: (1)语言的公开可利用性; (2)设计与工艺的无关性; (3)宽范围的描述能力;(4)便于组织大规模系统的设计;(5)便于设计

19、的复用、交流、保存和修改等。 硬件描述语言可以在三个层次上进行电路描述,其层次由高到低分为行为级、寄存器传输级RTL (Register Transmission Level)和门电路级。VHDL和VerilogHDL是目前IEEE的两种标准硬件描述语言VHDL语言是一种高级描述语言,适用于行为级和RTL级的描述;VerilogHDL语言属于一种较低级的描述语言,适用于RTL级和门电路级的描述。VHDL是一种硬件描述语言,它是VHSIC Hardware Description Language的缩写,其中VHSIC是Very High Speed Integrated Circuit的缩写。

20、20世纪70年代至80年代,美国国防部为方便管理各种电子电路技术文件,提出了VHDL这种语言规范,以便在各种抽象级描述片制造和CAD设计之间的信息交互、电子设计文件的信息共享。逐渐地,VHDL语言成为了一种硬件描述语言的标准。VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言格式和描述方法以及语法和一般的计算机高级语言类似。在描述硬件的结构和行为中,VHDL具有如下特点:VHDL语言支持自顶向下(Top-Down)的设计方法,还支持同步电路、异步电路、FPGA以及其他随机电路的设计。 VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期

21、就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。VHDL语言具有多层次描述系统硬件功能的能力,可以从系统的数学模型到门级电路,其高层次的行为描述可以与低层次的寄存器传输级(Register Transfer Level,RTL)描述和结构描述混合使用,还可以自定义数据类型,给编程人员带来较大的自由和方便。VHDL具有电路仿真与验证功能,可以保证设计的正确性,用户甚至不必编写如何测试相量便可以进行源代码级的调试,而且设计者可以方便地比较各种方案的可行性及其优劣,不需做任何实际的电路实验。VHDL语句的行为描述能力和程序结构决定了其具有支持大规模设计的分解和已有设计的再利用功能。符合市场需

22、求的大规模系统高效、高效的完成必须有多人甚至多个开发并行工作才能实现。对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动把VHDL描述设计转变成门级网表。1.3 EDA设计思想在电机控制方面的意义硬件电路设计的软件化是EDA设计方法学的基本思想,也是电路设计的发展趋势,用硬件描述语言来描述、模拟数字电路系统是这一趋势的重要组成部分。由于开发工具的通用性、设计语言的标准化以及设计过程几乎与所用的CPLD/FPGA器件的硬件结构没有关系,所以设计成功的各类逻辑功能块软件有很好的兼容性和可移植性,它几乎可用于任何型号的CPLD/FPGA中,由此还可以知识产权的方式得到确

23、认,并被注册成为所谓的IP芯核,从而使得片上系统(SOC)的产品设计效率大幅度提高由于相应的EDA软件功能完善而强大,仿真方式便捷而实时,开发过程形象而直观,兼之硬件因素涉及甚少,因此可以在很短时间内完成十分复杂的系统设计,这正是产品快速进入市场的最宝贵的特征。采用CPLD和FPGA不仅是电子技术发展的必然趋势,而且也是衡量产品技术先进性和竞争力的一个重要标志。基于EDA的CPLD/FPGA的应用和技术推广将是我国未来电子设计技术发展的主流。这些新型器件的出现,为我们进行电机集成控制器的研究提供了物质基础和技术手段,大大地拓广了我们的设计思路,使得诸如电机控制器等的片上系统集成有了可能。片上系

24、统的出现,对大幅度降低功耗、提高抗干扰性、增加技术保密性以及减少电路板面积等都提供了良好的解决方案;在系统可编程(ISP)技术又使得设计者可以随时通过软件对器件编程,从而达到改变硬件结构的目的随着EDA技术的发展和CPLD/FPGA在深亚微米领域的进军,它们与MCU(Micro Control Unit)、MPU(Micro Processing Unit)、DSP、ADC(Analog to Digital Converter)、DAC(Digital to Analog Converter)、 RAM(Random Access Memory)和ROM(Read-Only Memory)等

25、独立器件间的物理与功能界限已日趋模糊特别是软/固IP芯核产业的迅猛发展,嵌入式通用及标准FPGA器件呼之欲出,片上系统已近在咫尺。电子设计专家认为,单片机时代已经结束,未来将是EDA的时代,这是极具深刻洞察力的明世之言。所以,基于现代EDA技术和CPLD/FPGA器件,运用现代电机控制理论,进行电机控制器的集成化研究将是未来电机控制领域的一个重要发展方向,它不光具有理论意义,而且具有重要的经济意义和战略意义,在军事、民用等领域都会有广阔的用途。第2章 方案选择2.1 PWM技术的分类 PWM控制技术有许多种,从控制思想上分,可以分成四类,既等脉宽PWM法,正弦波PWM法,磁链追踪型PWM法和电

26、流追踪型PWM法。等脉宽PWM法是为了克服脉冲幅值调制PAM(Pulse Amplititude Modulation)方式中逆变器只能输出频率可调的方波电压而不能调压的缺点发展而来的,是PWM法中最为简单的一种。他每一脉冲的宽度均相等。改变脉冲列的周期可以调频,改变脉冲的宽度或占空比可以调压,采用适当的控制方法可使电压与频率协调变化。其缺点是输出电压中除基波外,还包含较大的谐波分量。SPWM法是为了克服等脉宽PWM法的缺点而发展来的。它从电动机供电电源的角度出发,着眼于如何产生一个可调频调压的三相对称正弦波电源。它是以正弦波作为基准波(称为调制波),用一列等幅的三角波(称为载波)与基准正弦波

27、相交,由它们的交点确定逆变器的开关模式,即当基准正弦波高于三角波时,使相应的开关器件导通;当基准正弦波低于三角波时,开关器件截止。其特点是:在半个周期中等幅、不等宽,总是中间的脉冲宽,两边的脉冲窄,各脉冲面积与该区间正弦波下的面积成正比。这样,输出电压中的低次谐波分量显然可以大大减小。还有许多与上述SPWM法类似的PWM法,如梯形波与三角波相交的方法,马鞍波与三角波相交的方法(又称三次谐波注入法)等,据不完全统计已发表的有10余种之多。它们的着眼点在于如何使逆变器的输出电压更好地获得三相对称正弦波或者提高电压幅值。因而,这些方法都可以认为是SPWM法的派生方法,可归入SPWM法一类。磁链追踪型

28、PWM法,又称为空间矢量PWM法,与传统的SPWM法不同,它是从电动机的角度出发的,着眼点在于如何使电动机获得幅值恒定的圆形旋转磁场。它是以三相对称正弦波电压供电时交流电动机的理想磁链圆为基准,用逆变器不同开关模式所产生的实际磁链来追踪基准磁链圆,由追踪的结果决定出逆变器的开关模式,形成PWM波。上述三种PWM法都是控制输出电压的电压型逆变器,而电流追踪型PWM法虽然也采用电压型逆变器的主电路结构,却是控制输出电流的电流型逆变器。其基本思想是将电动机定子电流的检测信号与正弦波电流给定信号进行比较,如果实际电流大于给定值,则通过逆变器的开关动作使之减小,否则使之增大。这样,实际电流波形围绕给定的

29、正弦波做锯齿状变化,而且开关器件的开关频率越高,实际电流的波动就越小。使用这种方法,电动机的电压数学模型改为电流模型,可使控制简单,动态响应加快,还可以防止逆变器过电流。鉴于本次设计的目的和要求及论文篇幅有限,本文着重研究在工程实际中应用较广泛的两种脉宽调制方法,即正弦波PWM法和空间矢量PWM法,分别分析两者的算法原理,提出其EDA实现方案,并给出仿真与实验结果。2.2 SPWM实现方法的选用SPWM的实现方法有很多,一般可归纳为两种:一种是采用模拟电路、数字电路或数模混合型的离散电路实现,另一种是采用微机、DSP或可编程逻辑器件(CPLD/FPGA)等集成电路与相应软件相结合的方法实现。2

30、.2.1采用离散电路实现SPWM采用离散电路实现SPWM法,通常是用一个正弦波信号发生器产生可以调频调幅的正弦波(称为调制波)信号,再用一个三角波信号发生器产生幅值不变的三角波(称为载波)信号,将它们进行比较,由两者的交点来确定逆变器开关的转换,从而获得SPWM波。该法最大的优点是实时调制性能好,但由于它使用的离散元件较多,存在系统体积较大、抗干扰能力差等诸多无法克服的缺点,因此不利于实现全数字化和集成化,已经不能满足现代交流伺服系统的要求。2.2.2采用集成电路实现SPWM由集成电路来实现SPWM控制,根据其软件化方法的不同,有以下几种:表格法(又称ROM法)这种方法是预先将SPWM波的数据

31、计算出来,存入ROM中,然后根据调频指令再将这些数据顺序取出,且实时处理能力。随时计算法(又称RAM法)这种方法的特点是在ROM中置预先存储一个单位基准正弦波,运行时,根据指令值的要求,按不同载波比和调幅比的要求,计算出一个周期的开关和开关模式保持的时间值,写入RAM1的数据输出期间,如指令值发生了新的变化,则重新开始计算,但将计算结果写入RAM2中。写入RAM2的操作一旦完成了,就转为将RAM2的数据输出。再有新的指令值时,则将计算结果写入ROM1。如此轮流的使用两个RAM。这种方法虽然不必使用大量的ROM,但也没有实时处理功能,动态响应时间也比较慢。实时计算法 实时计算法要有数学模型。建立

32、数学模型的方法有许多种,如等面积法、低次谐波消去法、采样型SPWM法以及由此派生出来的许多方法。采样型SPWM法,分自然采样法、规则采样法,而规则采样法中又有对称规则采样法与不对称规则采样法。(1)自然采样法 以正弦波为调制波,等腰三角波为载波进行比较,在两个波形的自然交点时刻控制开关器件的通断,这就是自然采样法。其优点是所得SPWM波形最接近正弦波,但由于三角波与正弦波交点有任意性,脉冲中心在一个周期内不等距,从而脉宽表达式是一个超越方程,计算繁琐,难以实时控制。(2)规则采样法规则采样法是一种应用较广的工程实用方法,一般采用三角波作为载波。其原理就是用三角波对正弦波进行采样得到阶梯波,再以

33、阶梯波与三角波的交点时刻控制开关器件的通断,从而实现SPWM法。当三角波只在其顶点(或底点)位置对正弦波进行采样时,由阶梯波与三角波的交点所确定的脉宽,在一个载波周期(即采样周期)内的位置是对称的,这种方法称为对称规则采样。当三角波既在其顶点又在底点时刻对正弦波进行采样时,由阶梯波与三角波的交点所确定的脉宽,在一个载波周期(此时为采样周期的两倍)内的位置一般并不对称,这种方法称为非对称规则采样。规则采样法是对自然采样法的改进,其主要优点就是是计算简单,便于在线实时运算,其中非对称规则采样法因阶数多而更接近正弦。其缺点是直流电压利用率较低,线性控制范围较小。2.2.3对称规则采样法SPWM模块的

34、方案设计对称规则采样法只在三角载波的底点采样,求取脉宽的计算量小,易于危机或可编程逻辑器件的实现,其采样原理如图2-1所示。其中、为三角载波和基波的幅值,为采样周期,为脉宽时间,、分别对应三角波的顶点和底点。经过简单的数学推导,可得: 式中:M为调制比,且M。图2-1对称规则采样法SPWM对称规则采样法三相SPWM发生器模块主要由基准计数器、正弦查表单元、PWM产生单元、死区发生器等组成,如图2-2所示。其中reset为异步复位信号,clk0为基准时钟,dir_ord为转向指令信号,start为启停控制信号,用于在初始化阶段封锁PWM信号输出;、M分别是载波周期和调制比设定值;是位置预估电路输

35、出的转子位置信号。基准计数器用于产生基准三角波,是一个以源码方式计数的,计数方向交替变化的可逆计数器,如图2-2所示。位置信号经查表后得到的三相正弦信号,分别与基准计数值在PWM产生单元中进行比较后,再经死区调节,即可得到用以驱动功率元件的6路PWM信号。死区发生器采用饱和计数原理,不仅可以防止上下桥臂功率器件同时导通引起的短路,而且能起到滤除SPWM输出波形中的毛刺作用。由于设计中需要使用三片ROM查表单元以得到三相正弦信号,占用了大量的芯片资源,应采用分时查表的方法予以解决,后面将作详细讨论。图2-2 对称规则采样法SPWM模块功能框图2.2.4不对称规则采样SPWM模块的方案设计不对称规

36、则采样法同时在三角波的顶点和底点采样,与对称规则采样法相比,虽然其求取脉宽的计算量增加了,但是其PWM输出波形更接近自然采样的结果,其采样原理如图2-3所示。经数学推导可得: 式中:和分别为采样周期与载波周期,且2。图2-3 不对称规则采样法SPWM图2-4是不对规则采样法三相SPWM发生器模块的功能框图,它主要由同步计数器,查表单元,占空比计算单元,分时控制单元,PWM形成单元等组成。图2-4 不对称规则采样法SPWM模块功能框图由于设计中需要使用三片ROM以得到三相正弦信号,并需要三个占空比计算单元,从而占用了大量的芯片资源,本次设计采用分时操作的方法予以解决。另外不对称规则采样法因阶数多

37、而更接近正弦,在此我们选择了不对称规则采样SPWM模块的方案设计。本章小结脉宽调制控制技术,通常简称为PWM(Pulse-Width Modulation)技术,是利用半导体开关器件的导通和关断,把直流电压变成电压脉冲序列,控制电压脉冲的宽度或周期达到变压的目的,或是控制电压脉冲宽度和脉冲列的周期已达到变压变频的目的。PWM控制技术广泛地采用应用开关稳压电源、不间断电源以及者流电动机、交流电动机调速传动系统中。在对目前各种SPWM方法进行比较之后,选用异步调制方式不对称规则采样SPWM算法,建立该算法的数学模型,提出分时查表和计算的设计思想。第3章 三相SPWM脉宽调制模块的实现3.1同步控制

38、单元同步控制单元用于产生控制各个部分同步协调工作的三个控制信号,即:三角载波的计数值q,以及同步控制信号sync和parity。用以控制各个部分的协调工作,如图2-4所示。这三个同步控制信号的产生原理如图3-1所示。根据三角形的几何特性,产生三角载波需要计数方向交替变化的可逆计数器。但由于载波三角形的对称性,并且不对称规则采样法在三角形的顶点和底点都采样,故只需要使用加计数器即可生成同步计数值q这样,不但节省了芯片资源,也简化了程序设计。sync信号的上升沿表示底点或顶点采样时刻;parity信号的上升沿对应于底点采样时刻,parity信号的下降沿对应于顶点采样时刻。程序参照附录1。图3-1同

39、步控制单元工作原理图3.2分时查表单元如图3-2所示,将以转子位置信号为地址查表的三相正弦数字信号,送入占空比计算单元按公式(2)(3)(4)进行计算,即可得到生成三相六路PWM信号所需的脉宽时间。由于设计中需要使用三片ROM作为存储单元以得到三相正弦信号,并需要三个占空比计算单元(每个计算单元包含一个加/减法器和两个乘法器),从而占用了大量的芯片资源。本次设计采用分时操作(查表/计算)的方法予以解决,如图3-2所示:图3-2分时操作示意图查表单元用于产生计算脉冲宽度所需的三相正弦数字信号。其中,转子位置信号是10位数字量;正弦值为16位(最高位为符号位),存储于地址宽度为10位,数据宽度为1

40、6位的ROM单元中。首先根据转子位置信号计算出三相地址转化为串行地址信号seg_theta,再将此串行地址信号seg_theta送入ROM查表单元,即可得到三相正弦信号。采用分时查表方法,只需要一片ROM单元就可得到计算脉冲宽度所需的三相正弦信号,节省了芯片资源。程序参照附录1。3.3占空比计算单元三相正弦信号是以串行方式送入占空比计算单元的,因此只需要一个占空比计算单元(即一个加/减法器和两个乘法器)就可以得到串行的三相脉冲宽度信号time_cnt,并在同步计数值q=7,9,11时分别重串行脉冲宽度信号time_cnt提出生成PWM信号所需的三相脉宽值:time_a=499、time_b=8

41、30、time_c=182。当时奇偶判断信号parity为高电平时,按公式(2)求取脉宽时间;当parity为低电平时,按公式(3)求取脉宽时间。采用分时查表/计算的方法,只需一片ROM和一个占空比计算单元就可以得到生成PWM信号所需的三相脉宽信号,因而节省了大量的资源。程序参照附录1。3.4 SPWM生成单元该单元的功能是根据占空比计算单元输出的三相脉宽时间量,控制开关模式,从而得到PWM脉冲。图3-3PWM生成单元程序流程图图3-3是正情况下的A相PWM信号的生成原理。首先,在sync的上升时刻,更新A相脉宽时间量。然后,根据奇偶判断信号parity,判断是顶点采样还是底点采样若为顶点采样

42、,则把PWMa信号设为低电平,定时时段为,一旦定时结束,PWMa信号立刻设为高电平;若为底点采样,则把PWMa信号设为高电平,定时时段为,一旦定时结束,PWMa信号立即设为高电平。各相的定时量不同,但它们的PWM信号的生成机理的一样的。在反转的情况下,PWM信号的极性和正转时的极性相反即可。程序参照附录1。3.5 死区发生器死去发生器是由死区计数器和一些合逻辑构成的,死区计数器采用饱和技术原理,它类似于电容的充放电过程,规则如下:但输入为0时,如果计数值等于0.,则计数值保持不变,否则作减1计数;当输入为1时,如果计数值等于deadtime(死区计数器最大计数值),则计数值保持不变,否则作加1

43、计数;当输入为1且计数值等于deadtime时,xh=1,xl=0(xh为某一相的上桥臂信号,xl为下桥臂信号),上桥臂导通,下桥臂截止;当输入为0且计数值为0时,xh=0,xl=1,下桥臂导通,上桥臂截止;当计数值在0deadtime之间时,xh=0,xl=0,上下桥臂都截止,形成死区。图是死区发生器的工作原理。采用饱和计数原理设计的死区发生器,不仅可以防止上下桥臂功率器件同时导通引起的短路,而且能起到滤除PWM输出波形中的毛刺的作用。程序参照附录1。图3-4 死区发生器工作原理3.6 SPWM模块的整体SPWM模块的整体,只是以上各个单元的简单组合。图3-5和图3-6分别是正弦波脉宽调制模

44、块的电路符号和电路图。图3-5 SPWM模块电路符号图3-6 SPWM模块电路图此外,设计本身未对信号源做出解释,故生成模拟位置信号产生单元模块用以产生预估计角度,此模块实际为一个地址发生器。其输入端分别是aclk异步复位信号、clk0时钟信号,theta_sync脉冲信号,theta9.0为输出的数据,其计数采用累加器原理。预估计角度值用10位数字量来表示,为了保证三相对称,只取1024组中的前1020组二进制代码,则0000000000对应0 ,011111110对应着180 ,1111111110对应着360 ,角度分辨率为0.353。本章小结上章在对正弦波脉宽调制SPWM(Sinuso

45、idal Pulse-Width Modulation)的各种实现方法比较后,选择在低成本FPGA上实现了不对称规则采样SPWM模块。本章着重介绍SPWM模块的各功能模块。不对称规则采样SPWM模块主要由同步控制单元、分时查表单元、占空比计算单元、PWM产生单元、死区发生器等组成,如图2-4所示。其中aclr为异步复位信号,clk0为时钟信号,dir_ord为转向控制指令,start为PWM输出使能端,用于在程序初始化阶段封锁六路PWM信号输出;与M分别为载波周期和调制比设定值,deadtime为死区时间设定值;是位置预估电路输出的转子位置信号。第4章 仿真结果及分析4.1 同步控制单元调试经

46、过分析与调试同步计数器实现了三个同步控制信号的精确输出。程序在设计测试期间,可观察到4个并行进程产生的信号精确捕捉时钟信号clk0(上升沿有效),并实现异步复位信号aclk的功能控制,达到预期效果。其生成模块如图4-1所示:图4-1同步计数器4.2 分时查表单元调试经过分析与调试分时差表单元可精确输出三相正弦信号sin15.0。在模块调试期间,完成时钟信号clk0和异步复位信号aclk以及同步计数值q对此模块的控制,在位置预估电路输出的转子位置信号输出正常的情况下能够产生良好的输出信号,达到预期效果。其生成模块如图4-2所示:图4-2 分时查表单元4.3 占空比计算单元调试经过分析与调试占空比

47、计算单元可精确计算并输出三相PWM脉宽值。在调试期间,在对函数公式的调用后可完成对输入信号sin15.0进行运算,由此生成为一个串行信号。所以,只需完成对同步计数值q的设定(q=7,9,11)后便可得到预期的输出效果。此模块受时钟信号clk0和异步复位信号aclk以及同步计数值q的有效控制。其生成模块如图4-3所示:图4-3 占空比计算单元4.4 SPWM生成单元调试经过分析与调试SPWM生成单元精确生成PWM脉冲信号。此模块主要运用逻辑运算使模块有效的判断输入信号转向控制指令dir_ord和三相PWM脉宽值,在完成模块结构设计后可良好的输出PWM脉冲量。此模块受时钟信号clk0和异步复位信号aclk以及同步计数值q的有效控制。其生成模块如图4-4所示:图4-4 SPWM生成单元4.5 死去发生器调试经过分析与调试死去发生器能够独立的完成对信号的死区调节,存在较好的可移植性,在完成对模块是结构设置后可完全嵌入本次设计同时生成控制信号start实现对发生器起/停控制。实验结果打到预期效果。其采用饱和技术原理,程序如附录1。其生成模块如图4-5所示:图4-5 死去发生器4.6 SPWM模块

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号