VHDL的主要描述语句课件.ppt

上传人:牧羊曲112 文档编号:4008625 上传时间:2023-03-31 格式:PPT 页数:141 大小:697.50KB
返回 下载 相关 举报
VHDL的主要描述语句课件.ppt_第1页
第1页 / 共141页
VHDL的主要描述语句课件.ppt_第2页
第2页 / 共141页
VHDL的主要描述语句课件.ppt_第3页
第3页 / 共141页
VHDL的主要描述语句课件.ppt_第4页
第4页 / 共141页
VHDL的主要描述语句课件.ppt_第5页
第5页 / 共141页
点击查看更多>>
资源描述

《VHDL的主要描述语句课件.ppt》由会员分享,可在线阅读,更多相关《VHDL的主要描述语句课件.ppt(141页珍藏版)》请在三一办公上搜索。

1、VHDL的主要描述语句,INDEX,顺序语句,数据类型及运算符,并行语句,其它语句,VHDL语言客体及分类,信号 Signal 信号名:数据类型 约束条件:=表达式变量 variable 变量名:数据类型 约束条件:=表达式常数 constant 常数名:数据类型 约束条件:=表达式,VHDL语言客体及分类,VHDL语言标准数据类型,VHDL语言运算符号(一),VHDL语言运算符号(二),INDEX,顺序语句,数据类型及运算符,并行语句,其它语句,顺序语句是指完全按照程序中书写的顺序执行各语句,并且在结构层次中前面的语句执行结果会直接影响后面各语句的执行结果。顺序描述语句只能出现在进程或子程序

2、中,用来定义进程或子程序的算法。顺序语句可以用来进行算术运算、逻辑运算、信号和变量的赋值、子程序调用等,还可以进行条件控制和迭代。注意,这里的顺序是从仿真软件的运行和顺应VHDL语法的编程逻辑思路而言的,其相应的硬件逻辑工作方式未必如此。应该注意区分VHDL语言的软件行为与描述综合后的硬件行为的差异。,VHDL顺序语句,VHDL顺序语句主要包括变量赋值语句(Variable Evaluate)信号赋值语句(Signal Evaluate)WAIT语句IF 语句 CASE 语句LOOP 语句 NEXT 语句 EXIT 语句RETURN 语句NULL 语句过程调用语句(Procedure Call

3、)断言语句(Assert)REPORT 语句,VHDL顺序语句,1.变量赋值语句变量赋值语句语法格式为:变量赋值目标:=赋值表达式,例:VARIABLE s:BIT:=0;PROCESS(s)VARIABLE count:INTEGER:=0-变量说明 BEGIN count:=s+1-变量赋值 END PROCESS;,2.信号赋值语句在VHDL语言中,用符号“=”为信号赋值。信号赋值语句的规范书写格式如下:目的信号量=TRANSPORTINERTIAL信号变量表达式;其中TRANSPORT表示传输延迟,INERTIAL表示惯性延迟。要求“=”两边的信号变量类型和位长度应该一致。例1:s=T

4、RANSPORT t AFTER 10ns;d=INERTIAL 2 AFTER 3ns,1 AFTER 8ns;例2:s=a NOR(b AND c);3个敏感量a,b,c中任何一个发生变化,该语句都将被执行。,WAIT语句在进程中起到与敏感信号一样重要的作用,敏感信号触发进程的执行,WAIT语句同步进程的执行,同步条件由WAIT语句指明。进程在仿真运行中处于执行或挂起两种状态之一。当进程执行到等待语句时,就将被挂起并设置好再次执行的条件。WAIT语句可以设置4种不同的条件:无限等待、时间到、条件满足以及敏感信号量变化。这几类WAIT语句可以混合使用。现分别介绍如下:,3.WAIT语句,.W

5、AIT-无限等待语句这种形式的WAIT语句在关键字“WAIT”后面不带任何信息,是无限等待的情况。,.WAIT ON 信号表-敏感信号等待语句 这种形式的WAIT语句使进程暂停,直到敏感信号表中某个信号值发生变化。WAIT ON语句后面跟着的信号表,在敏感信号表中列出等待语句的敏感信号。当进程处于等待状态时,其中敏感信号发生任何变化都将结束挂起,再次启动进程。,例APROCESSBEGINy=a AND b;WAIT ON a,b;END PROCESS;例BPROCESS(a,b)BEGINy=a AND b;END PROCESS;,在例A中执行所有语句后,进程将在WAIT语句处被挂起,直

6、到a或b中任何一个信号发生变化,进程才重新开始。例A与例B是等价的。,需要注意的是,在使用WAIT ON语句的进程中,敏感信号量应写在进程中的WAIT ON语句后面;而在不使用WAIT ON语句的进程中,敏感信号量应在开头的关键词PROCESS后面的敏感信号表中列出。VHDL规定,已列出敏感信号表的进程不能使用任何形式的WAIT语句。,.WAIT UNTIL 条件-条件等待语句这种形式的WAIT语句使进程暂停,直到预期的条件为真。WAIT UNTIL后面跟的是布尔表达式,在布尔表达式中隐式地建立一个敏感信号量表,当表中任何一个信号量发生变化时,就立即对表达式进行一次测评。如果其结果使表达式返回

7、一个“真”值,则进程脱离挂起状态,继续执行下面的语句。即WAIT UNTIL语句需满足以下条件:在条件表达式中所含的信号发生了变化;此信号改变后,且满足WAIT UNTIL语句中表达式的条件。这两个条件缺一不可,且必须按照上述顺序来完成。,WAIT UNTIL语句有以下三种表达方式:WAIT UNTIL 信号=VALUE;WAIT UNTIL 信号EVENT AND信号=VALUE;WAIT UNTIL 信号STABLE AND信号=VALUE;例如:WAIT UNTIL clock=“1”;WAIT UNTIL rising_edge(clk);WAIT UNTIL clk=1AND clk

8、 EVENT;WAIT UNTIL NOT clk STABLE AND clk=“1”;,一般的,在一个进程中使用了WAIT语句后,综合器会综合产生时序逻辑电路。时序逻辑电路的运行依赖WAIT UNTIL表达式的条件,同时还具有数据存储的功能。,例如:WAIT FOR 40 ns;在该语句中,时间表达式为常数40ns,当进程执行到该语句时,将等待40ns,经过40ns之后,进程执行WAIT FOR的后继语句。例如:WAIT FOR(a*(b+c);在此语句中,(a*(b+c)为时间表达式,WAIT FOR语句在执行时,首先计算表达式的值,然后将计算结果返回作为该语句的等待时间。,.WAIT

9、FOR 时间表达式-超时等待语句,4.IF语句 在VHDL语言中,IF语句的作用是根据指定的条件来确定语句的执行顺序。IF语句可用于选择器、比较器、编码器、译码器、状态机等的设计,是VHDL语言中最常用的语句之一。IF语句按其书写格式可分为以下3种。,.IF-THEN 控制语句这类语句书写格式为:IF 条件 THEN 顺序语句 END IF;,当程序执行到这种控制型IF语句时,首先判断语句中所指定的条件是否成立。如果条件成立,则程序继续执行IF语句中所含的顺序处理语句;如果条件不成立,程序将跳过IF语句所包含的顺序处理语句,而向下执行IF的后继语句。,LIBRARY IEEE;USE IEEE

10、.STD_LOGIC_1164.ALL;ENTITY dff ISPORT(clk,d:IN STD_LOGIC;q:OUT STD_LOGIC);END dff;ARCHITECTURE rtl OF dff IS BEGIN PROCESS(clk)BEGIN IF(clkEVENT AND clk=1)THEN q=d;END IF;END PROCESS;END rtl;,例:利用IF语句引入D触发器,这种语句的书写格式为:TF 条件 THEN 顺序语句 ELSE 顺序语句 END IF;,当IF条件成立时,程序执行THEN和ELSE之间的顺序语句部分;当IF语句的条件得不到满足时,程

11、序执行ELSE和END IF之间的顺序处理语句。即依据IF所指定的条件是否满足,程序可以进行两条不同的执行路径。,.二选一控制语句,ARCHITECTURE rtl OF mux2 ISBEGIN PROCESS(a,b,s)BEGIN IF(s=1)THEN c=a;ELSE c=b;END IF;END PROCESS;END rtl;,例:二选一电路结构体的描述,这种语句的书写格式为:IF 条件 THEN 顺序语句 ELSEIF 顺序语句 ELSEIF 顺序语句 ELSE 顺序语句 END IF;,.多选择控制语句,这种多选择控制的IF语句,实际上就是条件嵌套。它设置了多个条件,当满足所

12、设置的多个条件之一时,就执行该条件后的顺序处理语句。当所有设置的条件都不满足时,程序执行ELSE和END IF之间的顺序处理语句。,例:利用多选控制语句设计的四选一多路选择器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux4 IS PORT(input:IN STD_LOGIC_VECTOR(3 DOWNTO 0);sel:IN STD_LOGIC_VECTOR(1 DOWNTO 0);y:OUT STD_LOGIC);END mux4;,ARCHITECTURE rtl OF mux4 ISBEGIN PROCESS(input,sel)

13、BEGIN IF(sel=“00”)THEN y=input(0);ELSIF(sel=“01”)THEN y=input(1);ELSIF(sel=“10”)THEN y=input(2);ELSE y=input(3);END IF;END PROCESS;END rtl;,CASE语句根据满足的条件直接选择多项顺序语句中的一项执行,它常用来描述总线行为、编码器、译码器等的结构。CASE语句的结构为:CASE 表达式 ISWHEN 条件选择值=顺序语句,WHEN 条件选择值=顺序语句,END CASE;其中WHEN条件选择值可以有四种表达方式;(1)单个普通数值,形如WHEN 选择值=顺序

14、语句;(2)并列数值,形如WHEN 值/值/值=顺序语句;(3)数值选择范围,形如WHEN 值TO值=顺序语句;(4)WHEN OTHERS=顺序语句;,5.CASE语句,当执行到CASE语句时,首先计算CASE和IS之间的表达式的值,然后根据条件语句中与之相同的选择值,执行对应的顺序语句,最后结束CASE语句。,使用CASE语句需注意以下几点:CASE语句中每一条语句的选择值只能出现一次,即不能有相同选择值的条件语句出现。CASE语句执行中必须选中,且只能选中所列条件语句中的一条,即CASE语句至少包含一个条件语句。除非所有条件语句中的选择值能完全覆盖CASE语句中表达式的取值,否则最末一个

15、条件语句中的选择必须用“OTHERS”表示,它代表已给出的所有条件语句中未能列出的其他可能的取值。关键词OTHERS只能出现一次,且只能作为最后一种条件取值。使用OTHERS是为了使条件语句中的所有选择值能覆盖表达式的所有取值,以免综合过程中插入不必要的锁存器。这一点对于定义为STD_LOGIC和STD_LOGIC_VECTOR数据类型的值尤为重要,因为这些数据对象的取值除了1、0之外,还可能出现输入高阻态Z,不定态X等取值。,例1 CASE语句使用CASE command IS WHEN“00”=c c c c NULL;-无效END CASE;例2 CASE语句使用CASE sel IS

16、WHEN 1TO 9=c c c=3;END CASE;在例2中,第一个WHEN语句的意思是当sel 的值是从1到9 中任意一个数值时,信号c的值取1;第二个WHEN语句的意思是当sel 的值为11或12两者之一时,信号 c 的取值为2;第三个WHEN语句的意思是当sel 的值不为前面两种情况时,信号c 的取值为3。,-适合4选1数据选择器,LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder3_8 IS PORT(a,b,c,g1,g2a,g2b:IN STD_LOGIC;y:OUT STD_LOGIC_VECTOR(7 DOWNTO

17、 0);END decoder3_8;ARCHITECTURE rtl OF decoder3_8 IS SIGNAL indata:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGIN indata=c&b&a;PROCESS(indata,g1,g2a,g2b)BEGIN,例3 3-8译码器,IF(g1=1AND g2a=0 AND g2b=0)THEN CASE indata IS WHEN“000”=y y y y y y y y y=“XXXXXXXX”;END CASE;,-X:不定,ELSE-指不满足g1=1AND g2a=0 AND g2b=0情况 y=“111

18、11111”;END IF;END PROCESS;END rtl;,与IF语句相比,CASE语句组的程序语句是没有先后顺序的,所有表达式的值都并行处理。IF语句是有序的,先处理最起始、最优先的条件,后处理次优先的条件。,LOOP语句就是循环语句,它可以使包含的一组顺序语句被循环执行,其执行的次数受迭代算法控制。在VHDL中常用来描述迭代电路的行为。.单个LOOP语句单个LOOP语句的书写格式如下:标号:LOOP 顺序语句 END LOOP标号;这种循环语句需引入其他控制语句(如EXIT)后才能确定,否则为无限循环。其中的标号是可选的。,6.LOOP语句,例如:loop1:LOOP WAIT

19、UNTIL clk=1;q=d AFTER 2 ns;END LOOP loop1;,该语句语法格式为:标号:FOR 循环变量 IN 离散范围 LOOP 顺序处理语句 END LOOP标号;,.FOR_LOOP语句,例:8位奇偶校验电路LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY parity_check IS PORT(a:IN STD_LOGIC_VECTOR(7 DOWNTO 0);y:OUT STD_LOGIC);END parity_check;ARCHITECTURE rtl OF parity_check ISBEGIN PROC

20、ESS(a)VARIABLE tmp:STD_LOGIC,BEGIN tmp:=0;FOR i IN 0 TO 7 LOOP tmp:=tmp XOR a(i);END LOOP;y=tmp;-y=1,a为奇数个1。y=0,a为偶数个1。END PROCESS;END rtl;,这种语句的书写格式为:标号:WHILE 条件 LOOP 顺序处理语句 END LOOP标号;在该LOOP语句中,没有给出循环次数的范围,而是给出了循环执行顺序语句的条件;没有自动递增循环变量的功能,而是在顺序处理语句中增加了一条循环次数计算语句,用于循环语句的控制。循环控制条件为布尔表达式,当条件为“真”时,则进行循环

21、,如果条件为“假”,则结束循环。,.WHILE_LOOP语句,LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY parity_check IS PORT(a:IN STD_LOGIC_VECTOR(7 DOWNTO 0);y:OUT STD_LOGIC);END parity_check;ARCHITECTURE behav OF parity_check ISBEGIN PROCESS(a)VARIABLE tmp:STD_LOGIC,例:8位奇偶校验电路的WHILE_LOOP设计形式,BEGIN tmp:=0;i:=0;WHILE(i 8)LO

22、OP tmp:=tmp XOR a(i);i:=i+1;END LOOP;y=tmp;END PROCESS;END behav;,NEXT语句的书写格式为:NEXT标号WHEN 条件 该语句主要用于LOOP语句内部的循环控制。当NEXT语句后不跟标号,NEXT语句作用于当前最内层循环,即从LOOP语句的起始位置进入下一个循环。若NEXT语句不跟WHEN 条件,NEXT语句立即无条件跳出循环。,例:NEXT语句应用举例WHILE data 1 LOOP data:=data+1;NEXT WHEN data=3-条件成立而无标号,跳出循环 data:=data*data;END LOOP;,7

23、.NEXT语句,N1:FOR i IN 10 DOWNTO 1 LOOP N2:FOR j IN 0 TO i LOOP NEXT N1 WHEN i=j;-条件成立,跳到N1处 matrix(i,j):=j*i+1;-条件不成立,继续内层循环N2 END LOOP N2;END LOOP N1;,EXIT语句的书写格式为:EXITLOOP标号WHEN条件;EXIT语句也是用来控制LOOP的内部循环,与NEXT语句不同的是EXIT语句跳向LOOP终点,结束LOOP语句;而NEXT语句是跳向LOOP语句的起始点,结束本次循环,开始下一次循环。当EXIT语句中含有标号时,表明跳到标号处继续执行。含

24、WHEN条件时,如果条件为“真”,跳出LOOP语句;如果条件为“假”,则继续执行LOOP循环。EXIT语句不含标号和条件时,表明无条件结束LOOP语句的执行,因此,它为程序需要处理保护、出错和警告状态,提供了一种快捷、简便的调试方法。,8.EXIT语句,SIGNAL a,b:STD_LOGIC_VECTOR(0 TO 1);SIGNAL a_less_than_b:BOOLEAN;a_less_than_b=FALSE;FOR i IN 1TO 0 LOOP IF(a(i)=1AND b(i)=0)THEN a_less_than_b=FALSE;EXIT;ELSEIF(a(i)=0AND b

25、(i)=1)THEN a_less_than_b=TRUE;EXIT;ELSE NULL END IF;END LOOP;,-a1a0 与 b1b0比较大小,例:两个元素位矢量a、b进行比较,当发现a与b不同时,跳出循环比较程序并报告 比较结果。,RETURN语句是一段子程序结束后,返回主程序的控制语句。它只能用于函数与过程体内,并用来结束当前最内层函数或过程体的执行。RETURN语句的书写格式为:RETURN;RETURN 表达式;,9.返回语句 RETURN,LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY example IS PORT(a,

26、b:IN INTEGER;y:OUT INTEGER);END example;ARCHITECTURE rtl OF example ISBEGIN PROCESS(a,b)FUNCTION maximum(a,b:INTEGER)RETURN INTEGER IS VARIABLE tmp:INTEGER;,例:在函数体中使用RETURN语句,BEGIN IF(a b)THEN tmp:=a;ELSE tmp:=b;END IF;END maximum;BEGIN y=maximum(a,b);END PROCESS;END rtl;,上例是一个对两个输入整数取最大值的功能描述,在结构体的

27、进程中定义了一个取最大值的函数。在函数体中正是通过RETURN语句将比较得到的最大值返回的,并结束该函数体的执行。,NULL语句是空操作语句,不完成任何操作,执行NULL语句只是让程序运行流程走到下一个语句。NULL语句的书写格式为:NULL;NULL语句常用于CASE语句中,利用NULL来表示所余的不用的条件下的操作行为,以满足CASE语句对条件值全部列举的要求。,10.NULL语句,LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux4 IS PORT(d0:IN STD_LOGIC_VECTOR(7 DOWNTO 1);d1:IN STD

28、_LOGIC_VECTOR(7 DOWNTO 1);d2:IN STD_LOGIC_VECTOR(7 DOWNTO 1);d3:IN STD_LOGIC_VECTOR(7 DOWNTO 1);s0:IN STD_LOGIC;s1:IN STD_LOGIC;y:OUT STD_LOGIC_VECTOR(7 DOWNTO 1);END mux4;ARCHITECTURE behave OF mux4 IS,例:采用NULL语句的四选一数据选择器。,BEGIN lable:PROCESS(d0,d1,d2,d3,s0,s1)VARIABLE tmp:INTEGER;BEGIN tmp:=0;IF(s

29、0=1)THEN tmp:=tmp+1;END IF;IF(s1=1)THEN tmp:=tmp+2;END IF;,CASE tmp IS WHEN 0=y y y y NULL;END CASE;END PROCESS;END behave;上例是通过对用于选通8位总线的四选一多路选择器进行功能描述,具体说明NULL语句的使用。,11.过程调用语句(Procedure Call)与其他高级程序设计语言相似,VHDL提供了子程序的概念。其中在进程、函数和过程中,可以使用过程调用语句,此时它是一种顺序语句。一个过程被调用时将去执行它的过程体。过程调用语句的书写格式为:,例:LIBRARY IE

30、EE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY max IS PORT(in1:IN STD_LOGIC_VECTOR(7 DOWNTO 0);in2:IN STD_LOGIC_VECTOR(7 DOWNTO 0);in3:IN STD_LOGIC_VECTOR(7 DOWNTO 0);q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END max;,过程名(实参表);,ARCHITECTURE rtl OF max IS PROCEDURE maximum(a,b:IN STD_

31、LOGIC_VECTOR;c:OUT STD_LOGIC_VECTOR)IS VARIABLE temp:STD_LOGIC_VECTOR(aRANGE);BEGIN IF(a b)THEN temp:=a;ELSE temp:=b;END IF;c:=temp;END maximum;,BEGIN PROCESS(in1,in2,tmp1)VARIABLE tmp1,tmp2:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIN maximum(in1,in2,tmp1);-过程调用 maximum(tmp1,in3,tmp2);q=tmp2;END PROCESS;END

32、rtl;上例是一个取三个输入位矢量最大值的功能描述,它在结构体中的进程语句中使用了两个过程调用语句。,断言语句分为顺序断言语句和并行断言语句,顺序断言语句主要用于进程、函数和过程仿真、调试中的人机对话,它可以给出一个文字串作为警告和错误信息。断言语句的书写格式如下:ASSERT 条件 REPORT 报告信息 SEVERITY 出错级别;在执行过程中,断言语句对条件(布尔表达式)的真假进行判断,如果条件为“TURE”,则向下执行另外一条语句;如果条件为“FALSE”,则输出错误信息和错误严重程度的级别。在REPORT后面跟着的是设计者写的字符串,通常是说明错误的原因,字符串要用双引号括起来。SE

33、VERITY后面跟着的是错误严重程度的级别,他们分别是:NOTE(注意)WARNING(警告)ERROR(错误)FAILURE(失败)若REPORT子句缺省,则默认消息为“Assertion violation”;若SEVERITY子句缺省,则出错级别的默认值为“ERROR”。,12.断言语句(Assert),LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY rsff IS PORT(s:IN BIT;r:IN BIT;q:OUT BIT;qb:OUT BIT);END rsff;ARCHITECTURE rtl OF rsff ISBEGIN P

34、ROCESS(s,r)VARIABLE last_state:BIT;,例:RS触发器的VHDL描述中断言语句的使用,BEGIN ASSERT(NOT(s=1AND r=1)REPORT“Both s and r equal to1.”SEVERITY ERROR;IF(s=0AND r=0)THEN last_state:=last_state;ELSIF(s=0AND r=1)THEN last_state:=0;ELSE last_state:=1;END IF;q=last_state;qb=not(last_state);END PROCESS;END rtl;,上例中,如果 r 和

35、 s 都为1时,表示一种不定状态。在进程中先是设定了一条断言语句,目的是:当判断 r 和 s 都为1时,输出终端将显示字符串“Both s and r equal to1.”,同时可能终止模拟过程,并显示错误的严重程度。接下来用IF语句判别触发器的其他三种情况,最后将值送到触发器的两个输出端口上。,REPORT语句不增加硬件任何功能,但提供顺序断言语句的短格式,在仿真时使用REPORT语句可以提高程序的可读性。REPORT语句的书写格式为:REPORT 输出信息 SEVERITY 出错级别;例:RS触发器的VHDL描述中REPORT语句的使用(本例中,用REPORT语句代替上例进程中的断言语句

36、。),13.REPORT 语句,LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY rsff IS PORT(s:IN BIT;r:IN BIT;q:OUT BIT;qb:OUT BIT);END rsff;ARCHITECTURE rtl OF rsff ISBEGINPROCESS(s,r)VARIABLE last_state:BIT;,BEGIN IF(s=1AND r=1)THEN REPORT“Both s and r equal to1.”;ELSIF(s=0AND r=0)THEN last_state:=last_state;ELS

37、IF(s=0AND r=1)THEN last_state:=0;ELSE last_state:=1;END IF;q=last_state;qb=not(last_state);END PROCESS;END rtl;,INDEX,顺序语句,数据类型及运算符,并行语句,其它语句,在VHDL中,并行语句在结构体中的执行是同时并发执行的,其书写次序与其执行顺序并无关联,并行语句的执行顺序是由他们的触发事件来决定的。我们知道,实际的硬件系统中很多操作都是并发的,因此在对系统进行模拟时就要把这些并发性体现出来,并行语句正是用来表示这种并发行为的。在结构体语句中,并行语句的位置是:ARCHITECT

38、URE 结构体名 OF 实体名 IS 说明语句 BEGIN 并行语句 END 结构体名;,VHDL并行语句,其中并行语句主要有以下几种:PROCESS-进程语句BLOCK-块语句CONCURRENT SIGNAL ASSIGNMENT-并行信号代入语句CONDITIONAL SIGNAL ASSIGNMENT-条件信号代入语句SELECTIVE SIGNAL ASSIGNMENT-选择信号代入语句CONCURRENT PROCEDURE CALL-并行过程调用语句ASSERT-并行断言语句GENERIC-参数传递语句COMPONENT_INSTANT-元件例化语句GENERATE-生成语句并行

39、描述语句语句可以是结构性的,也可以是行为性的。下面对这些语句的应用加以介绍。,进程语句是最主要的并行语句,它在VHDL程序设计中使用频率最高,也是最能体现硬件描述语言特点的一条语句。进程语句的内部是是顺序语句,而进程语句本身是一种并行语句。进程语句的综合是比较复杂的,主要涉及这样一些问题:综合后的进程是用组合逻辑电路还是用时序逻辑电路来实现?进程中的对象是否有必要用寄存器、触发器、锁存器或是RAM等存储器件来实现。进程语句结构中至少需要一个敏感信号量,否则除了初始化阶段,进程永远不会被再次激活。这个敏感量一般是一个同步控制信号,同步控制信号用在同步语句中,同步语句可以是敏感信号表、WAIT U

40、NTIL语句或是WAIT ON语句。一般来说,只有一个同步点或者是具有多个同步点但都使用完全相同的同步控制信号的进程不需要“记忆”在哪一个同步点上被挂起时,不会形成存储器。如下例所示:,1.进程语句(PROCESS),-综合后不需要存储器的VHDL进程label1:PROCESS(a,b,c)BEGIN-其中没有其他同步描述AND PROCESS label1;-综合后需要存储器的VHDL进程label2:PROCESS BEGIN WAIT UNTIL clkEVENT AND clk=1;s=0;WAIT UNTIL clkEVENT AND clk=1;s=1;AND PROCESS l

41、abel2;,-不会形成存储器的变量label3:PROCESS(a,b,c)VARIABLE var:BIT;BEGIN var:=a XOR b;s=var AND c;AND PROCESS label3;-需要存储器的变量label4:PROCESS TYPE state_table IS(stop,go);VARIABLE state:table_ state;BEGIN WAIT UNTIL clkEVENT AND clk=1;,CASE state IS-state在赋值之前先被读访问 WHEN stop=state:=go;WHEN go=state:=stop;-这两个语句

42、是并发关系 END CASE;AND PROCESS label4;,-综合为触发器的进程label5:PROCESSBEGIN WAIT UNTIL clkEVENT AND clk=1;q=d;END PROCESS label5;,2.块语句(BLOCK)块(BLOCK)语句可以看作是结构体中的子模块,块语句把许多并行语句组合在一起形成一个子模块,而它本身也是一个并行语句。块语句的基本结构如下:块标号:BLOCK 保护表达式类属子句 类属接口表;;端口子句 端口接口表;;块说明部分BEGIN END BLOCK 块标号;,LIBRARY IEEE;USE IEEE.STD_LOGIC_1

43、164.ALL;ENTITY add IS PORT(A:IN STD_LOGIC;B:IN STD_LOGIC;Cin:IN STD_LOGIC;Co:OUT STD_LOGIC;S:OUT STD_LOGIC);END add;ARCHITECTURE dataflow OF add ISBEGIN,例:利用块语句描述的全加器,ex:BLOCK PORT(a_A:IN STD_LOGIC;a_B:IN STD_LOGIC;a_Cin:IN STD_LOGIC;a_Co:OUT STD_LOGIC;a_S:OUT STD_LOGIC);PORT MAP(a_A=A,a_B=B,a_Cin=C

44、in,a_Co=Co,a_S=S);SIGNAL tmp1,tmp2:STD_LOGIC;BEGIN label1:PROCESS(a_A,a_B)BEGIN tmp1=a_A XOR a_B;END PROCESS label1;,label2:PROCESS(tmp1,a_Cin)BEGIN tmp2=tmp1AND a_Cin;END PROCESS label2;label3:PROCESS(tmp1,a_Cin)BEGIN a_S=tmp1XOR a_Cin;END PROCESS label3;label4:PROCESS(a_A,a_B,tmp2)BEGIN a_Co=tmp2

45、OR(a_A AND a_B);END PROCESS label4;END BLOCK ex;END dataflow;,在上面的例子中,结构体内含有4个进程语句,这4个进程语句是并行关系,共同形成了一个块语句。在实际应用中,一个块语句中又可以包含多个子块语句,这样循环嵌套以形成一个大规模的硬件电路。,信号代入语句有两种:一种是在结构体中的进程内使用,此时它作为一种顺序语句出现;另一种是在结构体的进程之外使用,此时它是一种并行语句,因此称之为并行信号代入语句。并行信号代入语句的语法格式为:信号量=敏感信号量表达式;需要注意的是,一条信号代入语句与一个信号代入的进程语句是等价的,我们可以把一条

46、信号代入语句改写成一个信号代入的进程语句。,3.并行信号代入语句,例:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY and_gat IS PORT(a:IN STD_LOGIC;b:IN STD_LOGIC;y:OUT STD_LOGIC);END and_gat;ARCHITECTURE behave OF and_gat ISBEGIN y=a AND b;-并行信号代入语句(在结构体进程之外)AND behave;本例是一个2输入与门的VHDL描述,在结构体中使用了并行信号代入语句。下面是2输入与门的另一种VHDL描述,在描述的结构体中采

47、用了与上述并行信号代入语句等价的进程语句。,例:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY and_gat IS PORT(a:IN STD_LOGIC;b:IN STD_LOGIC;y:OUT STD_LOGIC);END and_gat;ARCHITECTURE behave OF and_gat ISBEGIN PROCESS(a,b)BEGIN y=a AND b;-进程语句(顺序语句)AND PROCESS;AND behave;,通过对上述两个例子的分析可见:从并行信号代入语句描述来看,当代入符号“=”右边的值发生任何变化时,信号

48、代入语句的操作立即执行,将信号代入符号“=”右边的表达式代入给左边的信号量;从进程语句的描述来看,当进程敏感信号表中的敏感信号量发生变化时,进程将被启动,顺序信号代入语句将被执行以完成信号的代入操作。在VHDL中提供了三种并行信号代入语句:并发信号代入语句条件信号代入语句 选择信号代入语句,信号代入语句在进程内部执行时,它是一种顺序语句;信号代入语句在结构体的进程之外出现时,它作为并发语句的形式出现。作为并发信号代入语句,在结构体中他们是并行执行的,他们的执行顺序与书写无关。并发信号代入语句是靠事件驱动的。对于并发信号代入语句来说,只有代入符号“=”右边的对象有事件发生时才会执行该语句。在实际

49、设计中,并发信号代入语句常用来进行加法器、乘法器、除法器和比较器等多种逻辑电路的描述。下面是一个用VHDL并发语句描述的全加器的例子。,.并发信号代入语句,例:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY add IS PORT(A:IN STD_LOGIC;B:IN STD_LOGIC;Cin:IN STD_LOGIC;Co:OUT STD_LOGIC;S:OUT STD_LOGIC);END add;ARCHITECTURE dataflow OF add IS SIGNAL tmp1,tmp2:STD_LOGIC;,BEGIN tmp1=

50、A XOR B;tmp2=tmp1 AND Cin;-4条并发信号代入语句 S=tmp1 XOR Cin;Co=tmp2 OR(A AND B);AND dataflow;,在上例的结构体中有4条并发信号代入语句,他们的执行顺序与书写顺序是无关的,因此上面的4条并发信号代入语句可以任意颠倒书写顺序,不会对执行结果产生任何影响。上面提到的并发信号代入语句是事件驱动的,例如:tmp2=tmp1 AND Cin;S=tmp1 XOR Cin;两条语句,只要tmp1 和 Cin中的值有一个发生变化,即有事件发生,那么这两条语句就会立即并发执行。,.条件信号代入语句条件信号代入语句也是一种并发描述语句,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号