VHDL硬件描述语言.ppt

上传人:小飞机 文档编号:5452197 上传时间:2023-07-08 格式:PPT 页数:47 大小:344.49KB
返回 下载 相关 举报
VHDL硬件描述语言.ppt_第1页
第1页 / 共47页
VHDL硬件描述语言.ppt_第2页
第2页 / 共47页
VHDL硬件描述语言.ppt_第3页
第3页 / 共47页
VHDL硬件描述语言.ppt_第4页
第4页 / 共47页
VHDL硬件描述语言.ppt_第5页
第5页 / 共47页
点击查看更多>>
资源描述

《VHDL硬件描述语言.ppt》由会员分享,可在线阅读,更多相关《VHDL硬件描述语言.ppt(47页珍藏版)》请在三一办公上搜索。

1、第6章 VHDL硬件描述语言,本章要点 VHDL语言的数据结构 VHDL语言的顺序语句和并行语句VHDL程序设计,6.1 VHDL概述,6.1.1 VHDL的特点(1)作为HDL的第一个国际标准,VHDL具有很强的可移植性。(2)具有丰富的模拟仿真语句和库函数。(3)VHDL有良好的可读性,接近高级语言,容易理解。(4)系统设计与硬件结构无关。(5)支持模块化设计。(6)用VHDL完成的一个确定设计,可以利用EDA工具自动地把VHDL描述转变成门电路级网表文件。(7)设计灵活,修改方便。,用VHDL设计电路主要的工作过程是:编辑、编译、功能仿真(前仿真)、综合、布局、布线、后仿真(时序仿真)。

2、,6.1.2 VHDL的基本结构,一个VHDL程序必须包括实体(ENTITY)和结构体(ARCHITECTURE)。除实体和结构体外,多数程序还要包含库和程序包部分。实体中定义了一个设计模块的外部输入和输出端口,即模块(或元件)的外部特征,描述了一个元件或一个模块与其他部分(模块)之间的连接关系,可以看作是输入输出信号和芯片管脚信息。结构体主要用来说明元件内部的具体结构,即对元件内部的逻辑功能进行说明,是程序设计的核心部分。库是程序包的集合,不同的库有不同类型的程序包。程序包用来定义结构体和实体中要用到的数据类型、元件和子程序等。,【例6-1】用VHDL设计一个非门(反向器)。非门即 y=a,

3、设反相器的VHDL的文件名是not1.vhd,其中的.vhd是VHDL程序文件的扩展名。程序结构如下:-库和程序包部分LIBRARY IEEE;-打开IEEE库USE IEEE.STD_LOGIC_1164.ALL;-调用库中STD_LOGIC_1164程序包-实体部分ENTITY not1 IS-实体名为 not1PORT(-端口说明a:IN STD_LOGIC;-定义端口类型和数据类型y:OUT STD_LOGIC);END not1:-实体结束-结构体部分ARCHITECTURE inv OF not1 IS-结构体名为 invBEGINy=NOT a;-将a取反后赋值给输出端口yEND

4、 inv;-结构体结束,这是一个完整的VHDL源程序实例。其中的第一部分是库和程序包,是用VHDL编写的共享文件,定义结构体和实体中要用到的数据类型、元件、子程序等,放在名为IEEE的库中。第二部分是实体,相当于定义电路单元的管脚信息。第三部分是结构体,用来描述电路的内部结构和逻辑功能。两条短划线是注释标识符,其右侧内容是对程序的具体注释,并不执行。所有语句都是以“;”结束,另外程序中不区分字母的大小写。,6.1.3 VHDL的库和程序包,1库 库是专门用于存放预先编译好的程序包的地方,对应一个文件目录,程序包的文件就放在此目录中,其功能相当于共享资源的仓库,所有已完成的设计资源只有存入某个“

5、库”内才可以被其他实体共享。常用的库有IEEE库、STD库和WORK库。库语句格式如下:LIBRARY 库名;2程序包 程序包是用VHDL语言编写的一段程序,可以供其他设计单元调用和共享,相当于公用的“工具箱”,各种数据类型、子程序等一旦放入了程序包,就成为共享的“工具”,类似于C语言的头文件,使用它可以减少代码的输入量,使程序结构清晰。程序包分为包头和包体两部分。,程序包格式如下。包头格式:PACKAGE 程序包名 IS包头说明语句END 程序包名;包体格式:PACKAGE BODY 程序包名 IS包体说明语句END 程序包名;调用程序包的通用模式为:USE 库名.程序包名.ALL;常用预定

6、义程序包有以下四个:(1)STD_LOGIC_1164程序包(2)STD_LOGIC_ARITH程序包(3)STD_LOGIC_SIGNED程序包(4)STD_LOGIC_UNSIGNED程序包,6.1.4 VHDL的实体,VHDL描述的对象称为实体,是设计中最基本的模块。实体的格式如下:ENTITY 实体名 ISGENERIC(类属说明)PORT(端口说明)END 实体名;1类属说明 类属说明是实体说明的一个可选项(可选项用表示),主要为设计实体指定参数,可以用来定义端口宽度、实体中元件的数目、器件延迟时间等。,类属说明语句的格式如下:GENERIC(常数名1:数据类型1:=设定值1;常数名

7、n:数据类型n:=设定值n);2端口说明 端口说明也是实体说明的一个可选项,负责对实体中输入和输出端口进行描述。端口说明语句格式如下:PORT(端口信号名1:端口模式1 数据类型1;端口信号名n:端口模式n 数据类型n);,6.1.5 VHDL的结构体,结构体用来描述设计实体的内部结构或行为,是实体的一个重要组成部分,定义了实体的具体功能,规定了实体中的信号数据流程,确定了实体中内部元件的连接关系。结构体用三种方式对设计实体进行描述,分别是行为描述、寄存器传输描述和结构描述。其格式如下:ARCHITECTURE 结构体名 OF 实体名 IS结构体说明部分;BEGIN功能描述语句;END 结构体

8、名;,【例6-4】通过中间信号m实现输入端到输出端的数据传输。ENTITY mybody IS-实体名为mybodyPORT(in1:IN BIT;-定义端口output1:OUT BIT);END mybody;ARCHITECTURE myprog OF mybody IS-结构体名为myprogSIGNAL m:BIT;-定义中间信号mBEGINm=in1;-输入信号传送给中间信号output1=m;-中间信号送给输出信号END myprog;,6.2 VHDL的数据结构,VHDL定义了常量、变量和信号三种数据对象,并规定每个对象都要有唯一确定的数据类型。6.2.1 标识符 标识符是书写

9、程序时允许使用的一些符号(字符串),主要由26个英文字母、数字09及下划线“_”的组合构成,允许包含图形符号(如回车符、换行符等)。可以用来定义常量、变量、信号、端口、子程序或参数的名字。标识符的命名规则如下:l第一个字符必须以字母开头;下划线不能连用;最后一个字符不能是下划线;对大小写字母不敏感(英文字母不区分大小写);长度不能超过32个字符。,6.2.2 数据对象 VHDL中凡是可以赋予一个值的对象都可称为数据对象。1常量 常量是在设计实体中保持某一特定值不变的量。常量的格式如下:CONSTANT 常量名:数据类型:=表达式;注意:数值和单位之间要留空格 常量一旦赋值之后,在程序中就不能再

10、改变了。常量的使用范围取决于被定义的位置。常量所赋的值应该与定义的表达式数据类型一致,否则将会出现错误。,2变量 变量属于局部量,主要用来暂存数据。变量只能在进程和子程序中定义和使用,可以在变量定义语句中赋初值,但变量初值不是必需的。格式如下:VARIABLE 变量名:数据类型 约束条件:=表达式;3信号 信号是描述硬件系统的基本数据对象,是设计实体中并行语句模块间的信息交流通道。通常可认为信号是电路中的一根连接线。信号有外部端口信号和内部信号之分:外部端口信号是设计单元电路的管脚或称为端口,在程序实体中定义,有IN、OUT、INOUT、BUFFER四种信号流动方向,其作用是在设计的单元电路之

11、间实现互连。外部端口信号供给整个设计单元使用,属于全局量;信号描述格式如下:SIGNAL 信号名:数据类型 约束条件:=初始值;,在程序中,信号赋值使用符号=,变量赋值使用符号:=,信号与变量都能被连续地赋值,其主要区别如下:l信号赋值有附加延时,变量赋值则没有。l信号可看成硬件的一根连线,变量在硬件中没有类似的对应关系。l对于进程语句,进程只对信号敏感,不对变量敏感。l信号除了具有当前值外还具有一定的历史信息(保存在预定义属性中),变量只有当前值。l在进程中,信号和变量的赋值是不同的,信号的赋值在进程结束时起作用,而变量赋值是立即起作用。,6.2.3 数据类型 对于常量、变量和信号这三种数据

12、对象,在为每一种数据对象赋值时都要确定其数据类型。VHDL对数据类型有着很强的约束性,不同的数据类型不能直接运算,相同的类型如果位长不同也不能运算。根据数据产生来源可将数据类型分为预定义类型和用户自定义类型两大类,这两类都在VHDL的标准程序包中作了定义,设计时可随时调用。1预定义数据类型 该类型是最常用、最基本的一种数据类型,在标准程序包STANDARD和STD LOGIC_ 1164及其他程序包中作了定义,已自动包含在VHDL源文件中,不必通过USE语句进行显示调用。,具体类型如下:(1)整数类型(INTEGER)(2)自然数(NATURAL)和正整数(POSITIVE)类型(3)实数(R

13、EAL)类型(4)位(BIT)类型(5)位向量(BIT_VECTOR)类型(6)布尔量(BOOLEAN)类型(7)字符(CHARACTER)类型(8)字符串(STRING)类型(9)时间(TIME)类型(10)错误等级(SEVERITY LEVEL)类型,2用户自定义数据类型 用户定义的数据类型格式如下:TYPE 数据类型名 IS 数据类型定义 OF 基本数据类型;或写成下面的格式:TYPE 数据类型名 IS 数据类型定义;VHDL允许用户定义的数据类型主要有枚举类型、数组类型和用户自定义子类型三种。(1)枚举类型(ENUMERATED)枚举类型是在数据类型定义中直接列出数据的所有取值。其格式

14、如下:TYPE 数据类型名 IS(取值1,取值2,);,(2)数组类型(ARRAY)数组类型是将相同类型的数据集合在一起所形成的一个新数据类型,可以是一维的,也可以是多维的。数组类型定义格式如下:TYPE 数据类型 IS ARRAY 范围 OF 数据类型;(3)用户自定义子类型 用户若对自己定义的数据作一些限制,由此就形成了原自定义数据类型的子类型。对于每一个类型说明,都定义了一个范围。子类型的格式如下:SUBTYPE 子类型名 IS 基本数据类型名 范围限制;,6.2.4 VHDL的表达式 VHDL的表达式是将操作数用不同类型的运算符连接而成,其基本元素包括运算符和操作数。1运算符 VHDL

15、与其他高级语言相似,有着丰富的运算符,以满足描述不同功能的需要。主要有四类常用的运算符,分别是逻辑运算符、算术运算符、关系运算符和连接(并置)运算符。(1)逻辑运算符 VHDL有7种逻辑运算符:AND、OR、NAND、NOR、XOR、XNOR、NOT。,(2)关系运算符 VHDL有6种关系运算符,是将两个相同类型的操作数进行数值相等比较或大小比较,要求这些关系运算符两边的数据类型必须相同,其运算结果为BOOLEAN类型,即表达式成立结果为TURE、不成立结果为FALSE。(3)移位运算符 移位运算符是VHDL_94新增的运算符,其中SLL(逻辑左移)和SRL(逻辑右移)是逻辑移位、SLA(算术

16、左移)和SRA(算术右移)是算术移位、ROL(循环左移)和ROR(循环右移)是循环移位。(4)符号运算符+(正号)、-(负号)与日常数值运算相同,主要用于浮点和物理类型运算。,(5)连接运算符 连接运算符也称为并置运算符,只有一种符号,用&表示。(6)算术运算符 算术运算符中,单目运算(ABS、*)的操作数可以是任何数据类型、+(加)、-(减)的操作数为整数类型、*(乘)、/(除)的操作数可以为整数或实数。2操作数 操作数是运算符进行运算时所需的数据,操作数将其数值传递给运算符进行运算。操作数种类有多种,最简单的操作数可以是一个数字,或者是一个标识符,如一个变量或者信号的名称。操作数的类型有:

17、常量、变量、信号、表达式、函数、文件等。,6.3 VHDL的顺序语句,VHDL语句用来描述系统内部硬件结构、动作行为及信号间的基本逻辑关系,这些语句不仅是程序设计的基础,也是最终构成硬件的基础。6.3.1 赋值语句 赋值语句是将一个值或者一个表达式的结果传递给某一个数据对象。数据在实体内部的传递以及对端口外的传递都必须通过赋值语句来实现。主要有变量赋值语句和信号赋值语句两种。1变量赋值语句 变量的说明和赋值都限定在其定义区域内,无法传递到所定义的区域外,因此具有局部性。,变量赋值语句的语法格式如下:目的变量:=变量表达式(赋值源);【例6-5】给变量a赋值。PROCESS-进程VARIABLE

18、 a:BIT;-在进程中定义变量aBEGINa:=0;-给变量a赋值为0END PROCESS;2信号赋值语句 信号赋值语句具有全局特征,不但可以使数据在设计实体内传递,还可以通过信号的赋值操作与其他实体进行数据交流。,信号赋值语句的语法格式如下:目的信号名:=信号表达式(赋值源);【例6-6】设计一个二输入与门电路,输入信号为a、b,输出信号为y。ENTITY andg IS PORT(a,b:IN BIT;y:OUT BIT);END andg;ARCHITECTURE data OF andg IS BEGIN PROCESS BEGIN y=a AND b;-信号a、b与运算后,赋值给

19、信号y END PROCESS;END data;,6.3.2 IF语句 IF语句是根据所指定的一种或多种条件来决定执行哪些语句的一种重要顺序语句,因此也可以说成是一种控制转向语句。一般有三种格式:(1)跳转控制。格式如下:IF 条件 THEN 顺序语句;END IF;(2)二选一控制。格式如下:IF 条件 THEN顺序语句;ELSE 顺序语句;END IF;,(3)多选择控制语句。格式如下:IF 条件 1 THEN 顺序语句1;ELSIF 条件2 THEN 顺序语句2;ELSIF 条件n THEN 顺序语句n;ELSE 顺序语句 n+1;END IF;注意:每个IF语句必须有一个对应的END

20、 IF语句。,6.3.3 CASE语句 CASE语句和IF语句的功能有些类似,是一种多分支开关语句,可根据满足的条件直接选择多个顺序语句中的一个执行。CASE语句可读性好,很容易找出条件和动作的对应关系,经常用来描述总线、编码和译码等行为。CASE语句的格式如下:CASE 表达式 ISWHEN 条件选择值1=顺序语句1;WHEN 条件选择值2=顺序语句2;WHEN 条件选择值3=顺序语句3;WHEN OTHERS=顺序语句n;END CASE;,其中WHEN的条件选择值有以下几种形式:l 单个数值,如WHEN 3。l 并列数值,如WHEN 1|2,表示取值1或者2。l 数值选择范围,如WHEN

21、(1 TO 3),表示取值为1、2、或者3。l 其他取值情况,如WHEN OTHERS,常出现在END CASE之前,代表已给出的各条件选择值中未能列出的其他可能取值。注意:语句中的=不是运算符,只相当于THEN的作用。,6.3.4 WAIT语句 进程在执行过程中总是处于两种状态:执行或挂起。进程中的敏感信号能够触发进程执行,WAIT语句也能起到与敏感信号同样的作用。(1)无限等待。不设置停止挂起条件的表达式,表示永远挂起。格式如下:WAIT;(2)等待敏感信号变化。格式如下:WAIT ON 信号名,信号名;(3)等待条件满足。格式如下:WAIT UNTIL 布尔表达式;(4)超时等待。格式如

22、下:WAIT FOR 时间表达式;注意:已经列出敏感信号的进程不能使用任何形式的WAIT语句。,6.3.5 子程序 子程序是由一组顺序语句组成的,可以在程序包、结构体和进程中定义,只有定义后才能被主程序调用,子程序将处理结果返回给主程序,主程序和子程序之间通过端口参数关联进行数据传送,其含义与其他高级语言相同。VHDL中的子程序有两种类型:过程和函数。1过程(PROCEDURE)过程的定义语句由两部分组成,即过程首和过程体。过程定义的格式为:PROCEDURE 过程名 参数列表-过程首PROCEDURE 过程名 参数列表 IS-过程体说明部分;BEGIN顺序语句END 过程名;调用过程语句的格

23、式为:过程名 参数列表;,2函数(FUNCTION)函数语句分为两个部分:函数首和函数体。在进程和结构体中,函数首可以省略,而在程序包中,必须定义函数首,放在程序包的包首部分,而函数体放在包体部分。格式如下:FUNCTION 函数名(参数列表)-函数首RETURN 数据类型名;FUNCTION 函数名(参数列表)-函数体RETURN 数据类型名IS 说明部分;BEGIN顺序语句;RETURN 返回变量;END 函数名;调用函数语句的格式为:y=函数名(参数列表);,6.3.6 LOOP语句 LOOP语句可以使程序有规则地循环执行,循环次数取决于循环参数的取值范围。常用的循环语句有FOR和WHI

24、LE两种。1FOR循环FOR循环是一种已知循环次数的语句,其格式如下:循环标号:FOR 循环变量 IN 循环次数范围 LOOP 顺序语句;END LOOP 循环标号;2WHILE循环 WHILE循环是一种未知循环次数的语句,循环次数取决于条件表达式是否成立。其格式如下:循环标号:WHILE 条件表达式 LOOP 顺序语句;END LOOP 循环标号;,6.3.7 返回语句 返回语句是一段子程序结束后,返回主程序的控制语句,返回语句只能用在子程序中。其格式有两种:(1)RETURN;(2)RETURN 表达式;第一种格式语句只能用于过程,无条件地结束过程,不返回任何值;第二种格式语句只能用于函数

25、,其表达式提供函数返回值,每一函数必须包含一个或多个返回语句,但在函数调用时只有一个返回语句能将返回值带回。可见,RETURN语句在函数和过程中的使用是有区别的。过程中的RETURN语句不能含有表达式,而在函数中的RETURN语句必须含有一个表达式,同时RETURN语句也是结束函数执行的惟一条件。,6.4 VHDL的并行语句,并行语句是VHDL区别于传统软件描述语言最显著的一个方面。各种并行语句在结构体中是同时并发执行的,也就是说,只要某个信号发生变化,都会引起相应语句被执行而产生相应的输出,其执行顺序与书写顺序没有任何关系。在结构体中并行语句的位置如下:ARCHITECTURE 结构体名 O

26、F 实体名 IS说明语句;BEGIN并行语句;END 结构体名;在结构体中常用的并行语句有:并行信号赋值语句、进程语句、元件例化语句、块语句和生成语句等。,6.4.1 并行信号赋值语句 并行信号赋值语句有三种形式:简单信号赋值语句、条件信号赋值语句和选择信号赋值语句。其共同点是赋值目标必须都是信号,这三种语句与其他并行语句一样,在结构体内是同时执行的。1简单信号赋值语句 简单信号赋值语句的格式为:信号=表达式;2条件信号赋值语句 条件信号赋值语句是一种并行信号赋值语句,可以根据不同的条件将不同的表达式值赋给目标信号。格式如下:信号=表达式1 WHEN 赋值条件1 ELSE表达式2 WHEN 赋

27、值条件2 ELSE表达式n;,使用条件信号赋值语句时,应该注意以下几点:(1)只有当条件满足时,才能将该条件前面的表达式的值赋给目标信号。(2)对条件进行判断是有顺序的,位置靠前的条件具有较高的优先级,只有不满足本条件的时候才会去判断下一个条件。(3)条件表达式的结果为布尔类型数值。(4)最后一个表达式后面不含有WHEN子句。(5)条件信号赋值语句允许条件重叠。,3选择信号赋值语句 选择信号赋值语句是一种条件分支的并行语句,格式如下:WITH 选择选择表达式 SELECT目标信号=信号表达式1 WHEN 选择条件1,信号表达式2 WHEN 选择条件2,信号表达式n WHEN 选择条件n;使用选

28、择信号赋值语句时,应该注意以下几点:(1)只有当选择条件表达式的值符合某一选择条件时,才将该选择条件前面的信号表达式赋给目标信号。(2)每一个信号表达式后面都含有WHEN子句。(3)由于选择信号赋值语句是并发执行的,所以不能够在进程中使用。,(4)对选择条件的测试是同时进行的,语句将对所有的选择条件进行判断,而没有优先级之分。这时如果选择条件重叠,就有可能出现两个或两个以上的信号表达式赋给同一目标信号,这样就会引起信号冲突,因此不允许有选择条件重叠的情况。(5)选择条件不允许出现涵盖不全的情况。如果选择条件不能涵盖选择条件表达式的所有值,就有可能出现选择条件表达式的值找不到与之符合的选择条件,

29、这时编译将会给出错误信息。注意:每条WHEN短句表示并列关系用逗号,最后一句用分号。,6.4.2 块语句 块语句是一种并行语句的组合方式,可以使程序更加有层次、更加清晰。在物理意义上,一个块语句对应一个子电路;在逻辑电路图上,一个块语句对应一个子电路图。块语句的格式如下:块标号:BLOCK说明语句;BEGIN并行语句;END BLOCK 块标号;,6.4.3 进程语句 一个结构体内可以包含多个进程语句,多个进程之间是同时执行的。进程语句本身是并行语句,但每个进程的内部则由一系列顺序语句构成。进程语句的格式如下:进程名:PROCESS(敏感信号表)进程说明;-说明用于该进程的常数,变量和子程序B

30、EGIN变量和信号赋值语句;顺序语句;END PROCESS 进程名;,进程语句的主要特点归纳如下:(1)同一结构体中的各个进程之间是并发执行的,并且都可以使用实体说明和结构体中所定义的信号;而同一进程中的描述语句则是顺序执行的,并且在进程中只能设置顺序语句。(2)为启动进程,进程的结构中必须至少包含一个敏感信号或包含一个WAIT语句,但是在一个进程中不能同时存在敏感信号和WAIT语句。(3)一个结构体中的各个进程之间可以通过信号或共享变量来进行通信,但任一进程的进程说明部分不允许定义信号和共享变量。(4)进程语句是VHDL中的重要的建模语句,进程语句不但可以被综合器所支持,而且进程的建模方式

31、直接影响仿真和综合的结果。,6.4.4 元件声明语句和元件例化语句 元件声明语句和元件例化语句就是在一个结构体中定义元件和实现元件调用的两条语句,元件声明语句放在结构体的ARCHITECTURE和BEGIN之间,指出该结构体调用哪一个具体的元件。元件例化语句是指元件的调用,语句中的PORT MAP是端口映射的意思,表示结构体与元件端口之间交换数据的方式(元件调用时要进行数据交换)。两种语句的格式如下:(1)元件声明语句(COMPONET)格式如下:COMPONET 元件名PORT 元件端口说明(与该元件源程序实体中的PORT部分相同)END COMPONET;(2)元件例化语句(PORT MA

32、P)格式如下:例化名:元件名 PORT MAP(元件端口对应关系列表);,当采用PORT MAP语句进行元件端口信号映射时,信号之间有位置映射和名称映射两种映射(关联)方式。l 位置映射:就是被调用元件端口说明中信号的书写顺序及位置和PORT MAP语句中实际信号的书写顺序及位置一一对应。例如某元件的端口说明为:PORT(a,b:IN BIT;c:OUT BIT);调用该元件时可使用:com1:u1 PORT MAP(n1,n2,m);显然n1对应a,n2对应b,m对应c,com1是例化名,u1是元件名。l 名称映射:该映射就是将库中已有的模块的端口名称赋予设计中的信号名。上例可改为:com1

33、:u1 PORT MAP(a=n1,b=n2,c=m);,6.4.5 生成语句 生成语句是一种循环语句,具有复制电路的功能。当设计一个由多个相同单元模块组成的电路时,利用生成语句复制一组完全相同的并行组件或设计单元电路结构,避免多段相同结构的重复书写,以简化设计。生成语句有FOR工作模式和IF工作模式两种。1FOR工作模式的生成语句 FOR工作模式常常用来进行重复结构的描述,格式如下:生成标号:FOR 循环变量 IN 取值范围 GENERATE并行语句;END GENERATE 生成标号;,2IF工作模式的生成语句 IF工作模式的生成语句常用来描述带有条件选择的结构。格式如下:生成标号:IF 条件 GENERATE并行语句;END GENERATE 生成标号;FOR工作模式生成语句常用来进行重复结构的描述,其循环变量是一个局部变量,取值范围可以选择递增和递减两种形式;IF工作模式生成语句主要用于描述含有例外情况的结构,如边界处发生的特殊情况。该语句中只有IF条件为TURE时,才执行结构体内部的语句。由于两种工作模式各有特点,因此在实际的硬件数字电路设计中,两种工作模式常常可以同时使用。,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号