PLL电路的研究及在信号产生中的应用毕业论文.doc

上传人:文库蛋蛋多 文档编号:4018876 上传时间:2023-04-01 格式:DOC 页数:36 大小:2.65MB
返回 下载 相关 举报
PLL电路的研究及在信号产生中的应用毕业论文.doc_第1页
第1页 / 共36页
PLL电路的研究及在信号产生中的应用毕业论文.doc_第2页
第2页 / 共36页
PLL电路的研究及在信号产生中的应用毕业论文.doc_第3页
第3页 / 共36页
PLL电路的研究及在信号产生中的应用毕业论文.doc_第4页
第4页 / 共36页
PLL电路的研究及在信号产生中的应用毕业论文.doc_第5页
第5页 / 共36页
点击查看更多>>
资源描述

《PLL电路的研究及在信号产生中的应用毕业论文.doc》由会员分享,可在线阅读,更多相关《PLL电路的研究及在信号产生中的应用毕业论文.doc(36页珍藏版)》请在三一办公上搜索。

1、PLL电路的研究及在信号产生中的应用Research of PLL electric circuit and application in the signal-generating 摘 要摘要:随着科学技术的发展,锁相环PLL是自动频率控制和自动相位控制技术的融合。鉴于其在通讯、航海、军事等发面的广泛应用,研究锁相环电路的特性有助于了解和提高锁相环电路的性能指标,使其在各领域得到更为广泛的应用和推广,其具有很强的实际应用价值。本设计基于数字锁相环式频率合成技术,采用AT89S52单片机完成电压控制LC振荡器的控制。可控制单片机改变频率,步进为100KHz;可实时测量压控振荡器输出频率、输出电

2、压峰峰值,并用数码管显示器显示;在输出负载为容性阻抗时,用一个串联谐振回路提高其输出功率;采用了交流电压反馈和AGC电路来稳定输出电压;末级功放选用三极管2SC2668,使其工作在丙类放大状态,提高了放大器的效率;输出正弦波比较稳定,没有明显失真;输出频率稳定度达到10-3;输出功率20mW;输出电压可稳定在1V0.1V。关键词:锁相环;压控振荡器;频率测量;信号产生;单片机ABSTRACTAbstract: Along with the science technical development, lock mutually the wreath PLL is mutually automa

3、tic frequency control and auto control technical fusion. Owing to it is in the hair noodleses, such as communication, voyage and military.etc. of extensive applied. The research lock mutually the characteristic of wreath electric circuit help understanding and exaltation to lock mutually the functio

4、n index sign of wreath electric circuit, Make it get more extensive application and expansion in each realm, it has very strongly physically applied value.The system adopting AT89S52 to design the VCO is based on the digital frequency synthesize technical .The digital PLL principle is used and the c

5、ontrol of the core chip MC145163 is accomplished by AT89S52. It can automatically change and measure the frequency of VCO with a step of 100 kHz and VP-P and display it by LED .Meantime it realizes the functions of expanding the frequency, which make more practical. The design is program with AT89S5

6、2 . It is proved to be well functioning, the output frequency is stable and the power of is over 20mW, and the capability indexes are also good after testing.Key Words:PLL;voltage controlled oscillator; frequency measure; signal-generating; MCU目 录 引言12系统组成33方案论证与比较43.1压控振荡器方案论证与选择43.2频率合成器的设计方案论证与选择

7、43.3控制模块的设计方案论证与选择 53.4电源方案的选择54锁相环74.1锁相环的概念74.2锁相环基本框图74.3鉴相器的时序图84.4捕捉带与通频带95单元电路的设计105.1压控振荡器105.1.1压控振荡器MC1648115.1.2压控振荡电路设计115.1.3变容二级管与开关二级管切换电路125.2锁相环式频率合成器的设计125.2.1锁相环控制电路设计125.2.2 MC145163的管脚图与内部组135.2.3 MCl45163P的相位比较器145.3低通滤波器155.4电源电路设计165.5电子控制单元电路(ECU)165.5.1 89C52单片机的管脚说明165.6频率测

8、量显示电路206 软件设计217 测试结果238 结论249参考文献25致谢26附录:系统原理图27附录2:环境参数检测程序281 引言1.1一、课题研究意义随着我们国家社会、经济的飞速发展,通信技术、数字电视、航空航天和遥控技术的不断发展,且相关领域的知识体系进一步完善与拓展,各种类型的现代化通信设备被广泛的运用,对频率源的频率稳定度、频谱纯度、频率范围和输出频率数量的要求也越来越高。为了提高频率的稳定度,经常采用晶体振荡器等方法来解决,但它很难产生多个频率信号。而频率合成技术,可以通过对频率进行加、减、乘、除运算,从一个高稳定度和高准确度的标准信号源,产生大量具有同样高稳定度和高准确度的不

9、同频率。频率合成器是从一个参考频率中产生多种频率的器件。基于频率合成器的这以一特点,利用锁相式频率合成技术,可以制作高稳定度、宽频带的正弦波信号发生器。鉴于其在通讯、航海、军事等发面的广泛应用,研究锁相环电路的特性有助于了解和提高锁相环电路的性能指标,使其在各领域得到更为广泛的应用和推广,其具有很强的实际应用价值。1.2发展的概况随着科学技术的发展,锁相环PLL是自动频率控制和自动相位控制技术的融合。其原理在数学理论方面早在30 年代无线电技术发展的初期就已出现。1930年已建立了同步控制理论的基础,1932 年贝尔塞什(Bellescize)提出了同步检波理论,第一次公开发表了锁相环路的数学

10、描述。用锁相环路提取相干载波来完成同步检波,早期的锁相环路采用电子管且价格昂贵,只能用在实验装置中,在其他领域未得到广泛应用。电子技术的飞速发展促使锁相环技术的发展进程。特别是由于战争,电子技术在军事领域的重要作用,使得其技术得到显著的提高。50 年代随着空间技术的发展,由杰费(Jaffe)和里希廷(Rechtin)利用锁相环路作为导弹信标的跟踪滤波器获得成功,并首次发表了包含噪声效应的锁相环路线性理论分析的文章,同时解决了锁相环路最佳化设计问题。1.3 系统的特点与先进性本系统具有结构简单、信号稳定可靠、性能价格比高且易于维护,其主要特点体现在:1. 采用AT89S52单片机完成电压控制LC

11、振荡器的控制,实时测量并显示压控振荡器输出频率及输出电压峰峰值精度优于10;2. 用数码管显示器,具有实时显示环境;3. 输出频率范围:15MHz45MHz;4输出频率稳定度:优于10;5输出电压峰-峰值:Vp-p=1V0.1V;6采用锁相环提高输出频率稳定度,输出频率步进间隔为100kHz;7频率步长(step)10kHz。本设计利用锁相环技术产生一个失真度小、输出频率稳定,整个课题的设计需要用到锁相环电路、MC145163芯片、AT89S52单片机、A/D转换器、放大器、LED数码管显示电路等部分的研究与设计。根据课题设计要求可知该系统需要利用环路滤波器(LPF)、可变分频器(N)和压控振

12、荡器(VCO)电压信号,将放大后的信号送给换器进行转换,并用PC机显示转换后的稳定电压。 2 系统组成根据要求设计信号发生器,输出信号为正弦波信号。数码显示频率锁相环MC145163鉴频器、分频、锁相环AT89S52单片机输出压控振荡器低通滤波器频率测量电路A/D转换电路键盘控制图2-1系统框图本设计采用锁相环式的频率合成技术,利用锁相环,使输出的正弦波频率与晶体振荡器的稳定度一样。控制部分采用单片机AT89S52单片机完成电压控制LC振荡器的控制,实时测量压控振荡器输出频率及输出电压峰峰值Vp-p=1V0.1V;并用数码管显示器对频率、电压峰峰值进行时时显示;采用交流电压反馈和AGC电路输出

13、稳定电压,实时测量并显示振荡器输出电压峰-峰值,精度优于10使其输出稳定的正弦波。系统框图如图2-1所3方案论证与比较3.1 压控振荡器方案论证与选择 方案1:采用分立元件构成。利用低噪声场效应管,用单个变容二极管直接接入振荡回路作为压控器件。图3-1 压控振荡电路电路是电容三点式振荡器,如图3-1所示。该方法实现简单,但是调试困难,而且输出频率不易灵活控制1。方案2:采用压控振荡器和变容二极管,及一个LC谐振回路构成变容二极管压控振荡器。只需要调节变容二极管两端的电压,便可改变压控振荡的输出频率。由于采用了集成芯片,电路设计简单,系统可靠性高,并且利用锁相环频率合成技术可以使输出频率稳定度进

14、一步提高。综上所述,方案2具有更优良的物性和更简单的电路构成,所以使用方案2作为本次设计的方案。3.2 频率合成器的设计方案论证与选择 方案一:采用直接式频率合成器技术,将一个或几个晶体振荡器产生的标准频率通过谐波发生器产生一系列频率,然后再对这些频率进行倍频、分频或混频,获得大量的离散频率。直接式频率合成器频率稳定度高,频率转换时间短,频率间隔小。但系统中需要用大量的混频器、滤波器等,体积大,易产生过多杂散分量,而且成本高、安装调试都比较困难。方案二:采用模拟锁相式频率合成器技术,通过环路分频器降频,将VCO的频率降低,与参考频率进行鉴相。优点:可以得到任意小的频率间隔;鉴相器的工作频率不高

15、,频率变化范围不大,比较好做,带内带外噪声和锁定时间易于处理。不需要昂贵康德晶体滤波器,频率稳定度与参考晶振的频率稳定度相同。缺点是分频率的提高要通过增加循环次数来实现,电路超小型化和集成化比较复杂。方案三:采用数字锁相环式频率合成技术,由晶振、鉴频/鉴相(FD/PD)、环路滤波器(LPF)、可变分频器(N)和压控振荡器(VCO)组成。图3-2分立元件构成的VCO电路图利用锁相环,将VCO的输出频率锁定在所需频率上。可以很好地选择所需频率信号,抑制杂散分量,并且避免了大量的滤波器,采用大规模的集成芯片,与前两种方案相位可以简化批频率合成部分的设计,有利于集成化和小型化。频率合成采用大规模集成P

16、LL芯片MC145163;VCO选用MC1648;环路滤波器采用运放LM358和RC电路组成,即可完成锁相环路的设计。利用该方法设计简单,功能齐全,可靠性高,抗干扰性强。分频器3分频器2分频器1谐波发生器晶振图3-2分立元件构成的VCO电路图综上所述,选择方案三采用大规模PLL芯片MC145163和其他芯片构成数字锁相环式频率合成器。3.3控制模块的设计方案论证与选择方案一:利用FPGA来控制集成芯片MC145163的分频系数A和N,以改变输出频率的大小,但由于其开发周期和系统利用率考虑,价格昂贵,开发周期也较长。方案二:利用单片机AT89S52控制。内有8K的Flash,可用ISP在线下载,

17、开发周期短,而且价格便宜,系统利用率高,使用方便灵活,易于进行功能扩展。系统的多个部件如频率测量电路,键盘控制电路,显示控制等都可以集成到一块芯片上,大大减小了系统体积。 综上所述,选择方案二,采用AT89S52单片机构成控制部分。3.4电源方案的选择系统需要多个电源,AT89S52使用5V稳压电源,振荡器的变容二极管需要1-8V电压,运放,功放等需要 8V稳定电源。方案一:采用升压型稳压电路。用两片MC34063芯片分别将3V的电池电压进行直流斩波调压,得到5V和8V的稳压输出。只需使用两节电池,既节省了电池,又减小了系统体积重量,但该电路供电电流小,供电时间短,无法使相对庞大的系统稳定运作

18、。方案二:采用三端稳压集成7805与7808分别得到5V与8V的稳定电压。利用该方法方便简单,工作稳定可靠。综上所述,选择方案二,采用三端稳压器电路。4锁相环4.1 锁相环的概念锁相环是指使高频振荡器的频率与基准频率的整数倍频率一致时所使用的电路。通常基准振荡器都使用晶体振荡器,所以高频振荡的频率稳定度与晶体振荡器相同。4.2 锁相环基本框图图4-1是锁相环的基本结构图,由VCO、相位比较器、基准频率振荡器、环路滤波器所组成的。在这里用f r表示基准频率振荡器频率,f 0则表示VCO的频率。当压控振荡器的频率f 0由于某种原因而发生变化时,必然相应地产生相位的变化。0UR(t)VCO鉴相器(P

19、D)振荡频率随VR而变化 基准振荡频率 Ud(t)C(t)环路滤波器图4-1 PLL的基本结构图相位的变化在鉴相器中与参考晶体振荡器的稳定相位f r相比较,使鉴相器输出一个与相位误差成比例的误差电压分量C(t)。C(t)用来控制压控振荡器中的压控元件参数,一般指的是变容二极管,而这压控元件又是VCO振荡回路的组成部分,结果压控元件电容量的变化将VCO的输出频率f 0又拉回稳定值来。这样,VCO的输出频率稳定度即由参考晶体振荡器所决定。由频率与相位的关系可知,瞬时频率与瞬时相位的关系是: (t)= (4.1) = + (4.2)4.2式中的为初始相位,为瞬时频率。由上面讨论可知加到鉴相器的两个振

20、荡信号的频率差为 (4.3.)4.3式中为参考晶体振荡器的频率, 压控荡频率。此时的瞬时相位差为=+ (4.4)当两个振荡器的频率相等时它们的瞬时相位差是一个常数,即:= (4.5) (t)= =0 (4.6) 亦即当两个振荡频率相等时,有相位差,无频率差3。4.3 鉴相器的时序图当与 的关系为。也就是VCO振荡频率低于时的状态。此时相位比较器的输出PD,如图4-2所示,产生正脉冲信号,使VCO的振荡频率提高的信号。反之,当是产生负脉冲。图4-2相位/频率比较器的动作这一PD脉波信号经过回路滤波器的积分,便可以得到直流电压VR,可以控制VCO电路。由于控制电压VR的变化,VCO振荡频率会提高。

21、结果使得=在与f 0的相位成为一致时,PD端子会成为高阻抗状态,使PLL被锁定(Lock)。4.4 捕捉带与通频带压控振荡器本来处于失锁状态时,由于环路的作用,使压控振荡频率逐渐向标准参考频率靠近,靠近到一定程度后,环路即能进入锁定。这一过程叫做捕捉过程。系统能捕捉最大的频率失谐范围称为捕捉带或捕捉范围。当环路已锁定后,如果由于某种原因引起频率变化,这种频率变化反映为相位变化,则通过环路的作用,可使VCO的频率和相位不断跟踪变化。这时环路即处于跟踪状态。环路所能保持跟踪的最大失谐频带称为同步带,又称为同步范围或锁定范围。5 单元电路的设计5.1 压控振荡器压控振荡就是在振荡电路中采用压控元件作

22、为频率控制器件。压控器件一般是用变容二级管,它的电容量受到输入电压的控制,当输入电压变化,就引起了起振荡频率的变化。因此,压控振荡器事实是一种电压频率变换器。它的特性可用瞬时振荡频率与控制电压C之间的关系曲线来表示,如图5-1所示。图上的中心频率是在没有外加控制电压时的固有频率。在一定范围内,与C之间是线性关系。在线性范围内,这一线性可用下列方程来表示。(t)=+KrC(t) (5.1) Kr是特性曲线的斜率,称为VCO的增益或灵敏度,量纲为 rad/s.V,它表示单位电压所引起的振荡角频率变化的大小。0OC 图5-1 压控振荡器的特性曲线5.1.1 压控振荡器MC1648MC1648是一个8

23、引线双列直插的器件,内部电路图如图6-2所示。压控振荡电路由芯片内部Q8、Q5、Q4、Q1、Q7和Q6,10脚和12脚外接LC谐振回路组成正反馈的正弦振荡电路4,其振荡频率: (5.2) (5.3) 5.3式中L C分别为电感、电容大小,为变容二极管的电容量。图5-2 MC1648内部原理图5.1.2 压控振荡电路设计图5-3为压控振荡电路图。压控振荡器主要由压控振荡芯片MC1648和变容二图5-3 压控振荡电路极管MV209以及谐振回路构成。MC1648需要外接一个由电感和电容组成的并联谐振回路。为达到最佳工作性能,在工作频率要求并联谐振回路的QL100。电源采用5V 的电压,振荡器的输出频

24、率随加在变容二极管上的电压大小变化而变化。通过切换电源来切换电感量,从而改变振荡频率。 5.1.3 变容二级管与开关二级管切换电路 变容二极管变容二级管是一种特制的二级管,它的PN结电容变化范围比较大,正常工作时,变容二级管加反相电压,在其PN结上产生电荷存储,于是相当于一个电容,当反向电压改变时,变容二级管的结电容也发生相应的变化 。变容二级管的结电容CVD和外加反向偏压UR的关系可用下式表示。(5.3) 5.3式中UR 是加在变容二极管的反向电压,CVD0为UR=0时 的结电容U0 是接触电位差;n是电容变化系数。5.2 锁相环式频率合成器的设计5.2.1锁相环控制锁相环控制的基本原理框图

25、如图5-5所示。采用锁相环频率合成,可以得到任意频率步进,同时频率稳定度与参考晶振相当,可以达到10-3。锁相环路主要由晶振、参考分频器、压控振荡器(VCO)、鉴频/鉴相器(FD/PD)、低通滤波器(LPF)、可编程分频器组成。它是应用数字逻辑电路将VCO频率一次或多次低至鉴相器频率上,再与参考频率在鉴相电路中进行比较,通过低通滤波器取出误差信号来控制VCO的频率,使之锁定在参考频率的稳定度上,由于采用了大规模集成电路块MC145163,图5-5锁相环控制电路将图中的晶振、参考分频器、鉴频鉴相器可编程分频器集成在一个芯片中,不需要再单独设计。同时利用AT89S52来控制MC145163,确定分

26、频系数A、N和发射频率的对应关系。5.2.2 MC145163的管脚图与内部组本设计PLL采用IC采用Motorola公司MC145163P。图5-6为MC145163P管脚排列的特性、引脚连接与结构图。此IC集成了产生基准频率的所需的晶体振荡电路与分频电路、用以分频VCO信号的分频电路以及比较与的相位比较器。图5-6 MC145163P管脚排列在实际电路原理中引脚功能描述如表5-1所示。表5-1MC145163管脚功能(引脚1)至合成器的可编程(N计数器)的输入,通常fin来自VCO,与AC耦合至引脚1。如果是像标准CMOS逻辑电平那样的大振幅信号的场合,那么直接连接也可以(引脚2)电路连接

27、VDD(引脚3)正电源(+5V)PD out(引脚4)可作VCO的控制信号使用的相位比较器3状态输出频率频率频率RA0,RA1(引脚5,引脚6)这些输入,将设定基准分频器(R计数器)的分频比分频,分频比可从512、1024、2048、4096选择, (引脚7 引脚8)这些相位比较器的输出,通过与低通带滤波器相结合,可成为VCO的控制信号频率:产生L脉冲,频率:,产生L脉冲频率:,除了都有短的L脉冲产生外,其于都为H(引脚9,BCD输入引脚24)这些输入资料,当计数器的内容为0时,将被预置于计数器。引脚9为10位数的LSB,引脚24为103位数的MSB。因内含拉降电阻,所以输入开放时呈L电平。再

28、使用BCD指拨开关,可以此随意设定3至9999的分频比内部基准振荡器或外部基准输入信号的缓冲器输出这些引脚如果接于晶体振荡器,就变成基准振荡器。与地,以及与地应接以适当数值的电容器也可以作为外部产生基准信号的输入。此信号通常以AC耦合于,但大振幅信号(CMOS逻辑电平)场合则为DC耦合。外部基准mode,无需接至LD(引脚28)PLL锁定检测信号,PLL环路锁定时(的频率与相位相同时)呈H电平,锁定外时产生L脉冲2对4解码器13位元/R计数器4位数BCD/N计数器锁定检测相位比较器A相位比较器B24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 948 2

29、8LD56103 102 101 100RA0 RA17 5.2.3 MCl45163P的相位比较器图5-7 MC145163的结构图从图5-7中可以看出,相位比较器(PD)是PLL中的重要部件,MCl45163P中内含两个相位比较器(A和B)。其中相位比较器A是用输信号边沿判别相位的电路,这种相位比较器只对输入信号的上升沿起作用,与输入信号的占空比无关,由该类相位比较器构成PLL,它的同步带和捕捉带与环路滤波器(LF)无关而为无限大,但实际上将受到压控振荡器 (VCO)控制范围的限制。5.3 低通滤波器低通滤波器由三极管和RC电路组成,其电路图如图5-8所示。低通滤波器用于滤除鉴相器输出的误

30、差电压中高频分量和瞬变杂散干扰信号,以获得更纯的控制电压,提高环路稳定性和改善环路跟踪性能和噪声性能。锁相稳频系统是一个相位反馈系统,其反馈目的是使VCO的振荡频率由自有偏差的状态逐步过渡到准确的标准值。而VCO如做调频源用,其瞬时频率总是偏离标准值的。振荡器中心频率不稳主要由温度、湿度、直流电源等外界因素引起,其变化是缓慢的,锁相环路只对VCO平均中心频率不稳定所引起的分量(处于低通滤波器通带之内)起作用,使其中心频率锁定在设定的频率上。因此,输出的调频波的中心频率稳定度很高8。图5-8 滤波电路图5.4电源电路设计电源电路如图5-9所示,由于低通需要28V的工作电压、MC1648、单片机、

31、MC145163P等工作电压需要5V,所以变压器的输出只需要接地和15V,考虑到高频信号产生电路和单片机共用一个电源会互相干扰,所以采取对单片机单独供电。由变压器出来的交流信号经过稳压电路,得到5V和28V的稳定电压。在三端稳压管的输入输出端与地之间连接大容量的滤波电容,使滤掉纹波的效果更好,输出的直流电压更稳定。接小容量高频电容以抑制芯片自激,输出引脚端连接高频电容以减小高频噪声。图5-9电源电路5.5电子控制单元电路(ECU)ECU是控制系统的核心,其作用是对输入的信号进行检测、运算处理和逻辑判断,根据预先存储的控制程序和试验数据,向各执行器发出控制指令,控制各执行器的工作。89C52是控

32、制系统内部的主要部分,它是整个控制系统的处理单元,AT89C52是一种带4K字节可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-52 指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。5.5.1 89C52单片机的管脚说明 VCC:供电电压(5V) GND:接地P0口:P0口为一个8位漏级开路双向I/O口。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据

33、存储器,它可以被定义为数据/地址的低八位。在FIASH编程时,P0口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为低八位地址接收。 P2口:P2口为一个内部上拉电阻的8位准双向I/O口。当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址

34、外部数据存储器进行存取时,P2口输出地址的高八位。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 P3口:P3口管脚是8个带内部上拉电阻的准双向I/O口。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流这是由于上拉的缘故。P3口也可作为AT89C52的一些特殊功能口,如下所示:P3口管脚备选功能P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0)P3.3 /INT1(外部中断1)P3.4 T0(记时器0外部输入)P3.5 T1(记时器1外部输入)P3.6 /WR(外部数据存储器写选

35、通)P3.7 /RD(外部数据存储器读选通)RST:复位输入。要保持RST脚两个机器周期的高电平时间。当8052通电,时钟电路开始工作,系统即初始复位。常见复位电路如图5-10所示。图5-10复位电路ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的低位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。/EA/VPP:当/EA保持低电平

36、时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加5V编程电源(VPP)。 XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。XTAL2:来自反向振荡器的输出。 振荡器特性:XTAL1和XTAL2分别为反向放大器的输入和输出。该反向放大器可以配置为片内振荡器。石晶振荡和陶瓷振荡均可采用。如采用外部时钟源驱动器件,XTAL2应不接。有余输入至内部时钟信号要通过一个二分频触发器,因此对外部时钟信号的脉宽无图任何要求,但必须保证脉冲的高低电平要求的宽度。 MCS-52单片机的内部

37、结构如图5-12所示。89C52单片机包含中央处理器、程序存储器(ROM)、数据存储器(RAM)、定时/计数器、并行接口、串行接口和中断系统等几大单元及数据总线、地址总线和控制总线等三大总线。 中央处理器中央处理器(CPU)是整个单片机的核心部件,是8位数据宽度的处理器,能处理8位二进制数据或代码,CPU负责控制、指挥和调度整个单元系统协调的工作,完成运算和控制输入输出功能等操作。 数据存储器(RAM)89C52内部有128个8位用户数据存储单元和128个专用寄存器单元,它们是统一编址的,专用寄存器只能用于存放控制指令数据,用户只能访问,而不能用于存放用户数据,所以,用户能使用的RAM只有12

38、8个,可存放读写的数据,运算的中间结果或用户定义的字型表。 程序存储器89C52共有4096个E2PROM,用于存放用户程序,原始数据或表格。 定时/计数器89C52有两个16位的可编程,以实现定时或计数产生中断用于控制程序转向。 并行输入输出口89C52共有4组8位I/O口(P0、 P1、P2或P3),用于对外部数据的传输。 全双工串行口89C52内置一个全双工串行通信口,用于与其它设备间的串行数据传送,该串行口既可以用作异步通信收发器,也可以当同步移位器使用。 中断系统89C52具备较完善的中断功能,有两个外中断、两个定时/计数器中断和一个串行中断,可满足不同的控制要求,并具有2级的优先级

39、别选择。 时钟电路89C52内置最高频率达12MHz的时钟电路,用于产生整个单片机运行的脉冲时序,但89C52单片机需外置振荡电容。单片机的结构有两种类型,一种是程序存储器和数据存储器分开的形式,即哈佛(Harvard)结构,另一种是采用通用计算机广泛使用的程序存储器与数据存储器合二为一的结构,即普林斯顿(Princeton)结构。INTEL的MCS-52系列单片机采用的是哈佛结构的形式。5.8 频率测量显示电路显示电路如图5-11所示。由于锁相环产生正弦波的频率较高,无法用单片机直接来测量它的频率,必须先用高速分频器来对它进行分频,使它降低到单片机的测量范围之内。但又考虑到性价比的问题,可直

40、接用频率合成器MC145163P的控制字和分频比来送给单片机显示。当控制字是8600H时,也就是R0、R1、R2为000时,选择步进为1K的标准频率,频率范围从25MHz到54MHz,根据 (5.5)5.5式中N是分频比,为输入BU2614的频率, 为标准信号源频率;计算出分频比的范围: (5.6) (5.7)转化成十六进制的变化范围是从61A8H到D2F0H。当控制字是8000H时,R0、R1、R2为110时,步进为25KHz标准频率,频率从54 MHz 到110MHz,根据上面的公式可得分频数从0870H到1130H。送显示的时候可把它的分频数乘于所选择的标准频率,然后进行BCD码转换,再

41、送给单片机处理。分频比可通过按键来调整。设置四个按键,分别是加一、加十、减一、减十。当需要选择较大调整时,可选择加十或减十;当需要较小范围调整时,可选择加一或减一。图5-11 显示电路6 软件设计本设计软件的主要作用是用来控制MC145163、以及频率的时时显示。因为输出正弦波的频带范围较宽,又考虑到精确度的要求,当步进为10KHz、控制字为4500H时,输出频率的最大值只能为45MHz,所以为了达到更高的频率,又能提高精确度,必须选择两种不同的标准频率。因为分频数乘于标准频率化成BCD码以后占用的字节数不同,所以要调用两个不同的显示单元。调整频率时,可通过按键来实现,根据调用不同的子程序可以

42、完成分频比加一、加十、减一、减十,当复位键按下时,显示的频率为30MHz。每次判断有按键按下时重新调用存储,写入新的数据,以防掉电时重新复位。软件流程图如图6-1、6-2所示。初始化化清屏调用显示程序写入MC145163 判断按键是否按下否是 执行相应按键的功能图6-1主流程图开始按键是否按下否是判断哪个按键按下按键4按键3按键2按键1减1减10加10加1写MC145163调用显示图6-2 按键流程图7测试结果在整个设计系统统调以后,用示波器可测量出各个频率值与相对应的电压值,由于考虑到正弦波的频带宽不能一一列出,这里测出以5MHz为步长,从15MHz到45MHz的6个测试频率点。从表7-1测

43、试结果可以得出,在45MHz的时候电压值最大,也就是在这个频率点的时候Q值最大。表8-1频率与电压的对应关系(频率单位MHz)基准频率15202530354045测得频率14.820.1525.1029.9034.7540.3044.90电压(V)1.822.745.658.9012.5017.8523.209 结论由于晶体振荡器单频点的局限性,难于满足多频点的要求。本设计为了修正石英晶体振荡器的不足,运用锁相环来产生一个高稳定度、高精确度、多频点的正弦波信号。产生的正弦波信号可应用于调频、解调、通信、电视等领域。本设计的优点是,通过切换电感可扩大锁相环的带宽,实现15MHz到45MHz可调的频率,结果满足设计要求。此设计调试比较困难,要求经过低通

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号