多家公司硬件笔试题及答案.doc

上传人:laozhun 文档编号:4123303 上传时间:2023-04-06 格式:DOC 页数:19 大小:170KB
返回 下载 相关 举报
多家公司硬件笔试题及答案.doc_第1页
第1页 / 共19页
多家公司硬件笔试题及答案.doc_第2页
第2页 / 共19页
多家公司硬件笔试题及答案.doc_第3页
第3页 / 共19页
多家公司硬件笔试题及答案.doc_第4页
第4页 / 共19页
多家公司硬件笔试题及答案.doc_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《多家公司硬件笔试题及答案.doc》由会员分享,可在线阅读,更多相关《多家公司硬件笔试题及答案.doc(19页珍藏版)》请在三一办公上搜索。

1、1、同步电路和异步电路的区别是什么?(仕兰微电子) 解答:同步电路是说电路里的时钟相互之间是同步的,同步的含义不只局限于同一个CLOCK,而是容许有多个CLOCK,这些CLOCK的周期有倍数关系并且相互之间的相位关系是固定的就可以,比如,10ns, 5ns, 2.5ns 三个CLOCK的电路是同步电路。我们现在的综合,STA都是针对同步电路的。异步电路是指CLOCK之间没有倍数关系或者相互之间的相位关系不是固定的,比如5ns, 3ns 两个CLOCK是异步的。异步电路无法作真正意义上的综合及STA,如果在同步电路里夹杂有异步电路,就set_flase_path。所以异步电路只有靠仿真来检查电路

2、正确与否。异步电路主要是组合逻辑电路,用于产生地址译码器、或的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以避免输入信号之间造成的竞争冒险。电路的稳定需要有可靠的建立时间和持时间,待下面介绍。 同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。比如触发器,当上升延到来时,寄存器把端的电平传到输出端。 下面介绍一下建立保持时间的

3、问题。建立时间()是指在触发器的时钟上升沿到来以前,数据稳定不变的时间。如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间()是指在触发器的时钟上升沿到来以后,数据稳定不变的时间。如果保持时间不够,数据同样不能被打入触发器。数据稳定传输必须满足建立时间和保持时间的要求,否则电路就会出现逻辑错误。 在同步电路设计中一般采用D触发器,异步电路设计中一般采用Latch。2、什么是同步逻辑和异步逻辑?(汉王笔试) 解答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 答案应该与上面问题一致补充:同步时序逻辑电路的特点 各触发器的时钟端全部连接在一起,并接在

4、系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入 x 有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点 电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。3、什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)解答:线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用 oc门可能使灌电流过大,而烧坏逻辑门。 同时在输出端口应加一个上拉电阻。 4、什么是Setup 和Holdu

5、p时间?(汉王笔试) 5、setup和holdup时间,区别.(南山之桥) 6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知) 7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) 解答:Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发 器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这

6、一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。 保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time 不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信 号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现 metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时 间,那么超过量就分别被称为建立时间裕量和保持时间裕量。 8、说说对数字

7、逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微 电子) 9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试) 解答:在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试) 解答:常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TT

8、L是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。 11、如何解决亚稳态。(飞利浦大唐笔试) 解答:亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。 12、IC设计中同步复位与 异步复位的区别。(南山之桥) 解答:1)同步复位和异步复位在FPGA的实现与所选的器件有关。有些器件里的触发器本身就具有同步/异步复位端,在这样的器

9、件中,异步复位和同步复位在走线上是没有区别的。区别只在于是否与时钟有关。在这样的器件中,只要不是在复位一结束信号(例如多位的计数器)的值就发生跳变,应该是没有影响的。(2)如果器件只能完成异步复位,那同步复位实际上是由逻辑完成的。在这种情况下,有可能增加你的逻辑资源。(3)如果想采用异步复位,又想避免复位结束时,有些触发器处于复位状态,有些触发器处于工作状态的情况(由于skew造成),可以在复位输入的起始路径上加入一级D触发器。并限制同步后复位信号的max_delay。总之,需要根据你的应用情况选用不同的复位形式。13、MOORE 与 MEELEY状态机的特征。(南山之桥) 解答:Moore型

10、状态机的转向只取决于当前的状态,Meeley型不同。Moore型状态机的输出信号是直接由状态寄存器译码得到,而Mealy型状态机则是以现时的输入信号结合即将变成次态的现态,编码成输出信号Moore状态机的输出只与有限状态自动机的当前状态有关,与输入信号的当前值无关。 Moore有限状态机在时钟CLOCK脉冲的有效边沿后的有限个门延后,输出达到稳定值。即使在一个时钟周期内输入信号发生变化,输出也会在一个完整的时钟周期内保持稳定值而不变。输入对输出的影响要到下一个时钟周期才能反映出来。Moore有限状态机最重要的特点就是将输入与输出信号隔离开来。 14、多时域设计中,如何处理信号跨时域。(南山之桥

11、) 解答:多时钟域的设计中,对于信号跨时域的处理这里可以采用乒乓操作的方法来进行。乒乓操作的处理流程为:输入数据流通过“输入数据选择单元”将数据流等时分配到两个数据缓冲区,数据缓冲模块可以为任何存储模块,比较常用的存储单元为双口RAM(DPRAM)、单口RAM(SPRAM)、FIFO等。在第一个缓冲周期,将输入的数据流缓存到“数据缓冲模块1”;在第2个缓冲周期,通过“输入数据选择单元”的切换,将输入的数据流缓存到“数据缓冲模块2”,同时将“数据缓冲模块1”缓存的第1个周期数据通过“输入数据选择单元”的选择,送到“数据流运算处理模块”进行运算处理;在第3个缓冲周期通过“输入数据选择单元”的再次切

12、换,将输入的数据流缓存到“数据缓冲模块1”,同时将“数据缓冲模块2”缓存的第2个周期的数据通过“输入数据选择单元”切换,送到“数据流运算处理模块”进行运算处理。如此循环。 乒乓操作的最大特点是通过“输入数据选择单元”和“输出数据选择单元”按节拍、相互配合的切换,将经过缓冲的数据流没有停顿地送到“数据流运算处理模块”进行运算与处理。把乒乓操作模块当做一个整体,站在这个模块的两端看数据,输入数据流和输出数据流都是连续不断的,没有任何停顿,因此非常适合对数据流进行流水线式处理。所以乒乓操作常常应用于流水线式算法,完成数据的无缝缓冲与处理。 乒乓操作的第二个优点是可以节约缓冲区空间。还有一种方法,FI

13、FO一般用于不同时钟域之间的数据传输,比如FIFO的一端时AD数据采集,另一端时计算机的PCI总线,假设其AD采集的速率为16位 100K SPS,那么每秒的数据量为100K16bit=1.6Mbps,而PCI总线的速度为33MHz,总线宽度32bit,其最大传输速率为1056Mbps,在两个不同的时钟域间就可以采用FIFO来作为数据缓冲。另外对于不同宽度的数据接口也可以用FIFO,例如单片机位8位数据输出,而DSP可能是16位数据输入,在单片机与DSP连接时就可以使用FIFO来达到数据匹配的目的。15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦大唐笔试)

14、解答:Delay q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)19、一个四级的Mux,其中第二级信号为关键信号 如何改善timing。(威盛VIA 2003.11.06 上海笔试试题)20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入, 使得输出依赖于关键路径。(未知)21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优 点),全加器等等。(未知)22、卡诺图写出逻辑表达式

15、。(威盛VIA 2003.11.06 上海笔试试题)23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)24、please show the CMOS inverter schmatic,layout and its cross sectionwith P- well process.Plot its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (

16、威 盛笔试题circuit design-beijing-03.11.09) 25、To design a CMOS invertor with balance rise and fall time,please define the ration of channel width of PMOS and NMOS and explain? 26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)27、用mos管搭出一个二输入与非门。(扬智电子笔试)28、please draw the transistor level schematic of a cmos 2 input

17、 AND gate and explain which input has faster response for output rising edge.(less delay time)。(威盛笔试题circuit design-beijing-03.11.09)29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔 试)解答:略。30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)31、用一个二选一mux和一个inv实现异或。(飞利浦大唐笔试)32、画出Y=A*B+

18、C的cmos电路图。(科广试题)33、用逻辑们和cmos电路实现ab+cd。(飞利浦大唐笔试)34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)35、利用4选1实现F(x,y,z)=xz+yz。(未知)36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。 解答:好好复习状态图的化简。37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。(Infineon笔试)38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什么?1)INV 2)

19、AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND,不知道如何解答。39、用与非门等设计全加法器。(华为)解答:略。40、给出两个门电路让你分析异同。(华为)解答:略。41、用简单电路实现,当A为输入时,输出B波形为(仕兰微电子)解答:略。42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知) 解答:我对此题的解答是认为做一个全加器,让后把低两位与然后或一个高位。Module Check(A,B,C,D,E,F)InputA,B,C,D,E;Output

20、F;RegA,B,C,D,E;RegF;Reg 2:0 Z;AssignZ = A+B+C+D+E;Always( )BeginIf( Z 2)F=1;ElseF=0;EndEndmodule;43、用波形表示D触发器的功能。(扬智电子笔试) 解答:略。44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试) 解答:45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题) 解答:略。46、画出DFF的结构图,用verilog实现之。(威盛) 解答:知道DFF是D触发器就好做多了。略。47、画出一种CMOS的D锁存器的电路图和版图。(未知) 48、D触发器和D锁存器的区别。

21、(新太硬件面试) 解答:是边缘触发的也是就取上升沿来的时候的值latch 是为高电平的时候就是透明的49、简述latch和filp-flop的异同。(未知) 解答:如4850、LATCH和DFF的概念和区别。(未知) 解答:如48。51、latch与register的区别,为什么现在多用register.行为级描述中latch如何产生的。(南山之桥) 解答:应为latch为电平触发,容易产生毛刺干扰。52、用D触发器做个二分频的电路.又问什么是状态图。(华为) 53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试) 54、怎样用D触发器、与或非门组成二分频电路?(东信笔试) 解答:二分频电

22、路即是D触发器的反相输出接入输入即可。55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频? 解答:4个56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出 carryout和next-stage. (未知) 解答:略。57、用D触发器做个4进制的计数。(华为) 解答:略。58、实现N位Johnson Counter,N=5。(南山之桥) 解答:下面的Verilog代码描述了一个异步复位的Johnson Counter.module jo

23、hnson(clk,clr,out);input clk,clr;output4:0 out;reg4:0 out;always (posedge clk or posedge clr) beginif (clr) out= 5h0; elsebegin out= out 1; out0= out4;endendendmodule 59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子) 解答:60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知) 解答:略。61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥) 解答:首

24、先这两个词的意思是阻塞和非阻塞。这两种赋值的形式直接影响着你在设计中是否出现了锁存的现象or出现了触发器。阻塞赋值(),它在使用后立即赋值,使用在组合逻辑电路中。非阻塞赋值(=),它的赋值在下次的时钟脉冲到来时赋值,使用在时序逻辑电路中。在Verilog中推荐使用非阻塞赋值。62、写异步D触发器的verilog module。(扬智电子笔试) 解答:module dff8(clk , reset, d, q); input clk; input reset; input 7:0 d; output 7:0 q; reg 7:0 q; always (posedge clk or posedge

25、 reset) if(reset) q = 0; else q = d; endmodule 63、用D触发器实现2倍分频的Verilog描述? (汉王笔试) 解答:module divide2( clk , clk_o, reset); input clk , reset; output clk_o; wire in; reg out ; always ( posedge clk or posedge reset) if ( reset) out = 0; else out = in; assign in = out; assign clk_o = out; endmodule 64、可编程

26、逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试)解答:PAL,PLD,CPLD,FPGA。 module dff8(clk , reset, d, q); input clk; input reset; input d; output q; reg q; always (posedge clk or posedge reset) if(reset) q = 0; else q = d; endmodule 65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子) 解答:四位全加

27、器的描述:Module Add4( a,b,c,d,q,cp,clk,clr);Inputa,b,c,d,clk,clr;Outputq,cp;Reg a,b,c,d;Wire3:0q;wirecp;Reg4:0 Qout;Always(posedge clk or negedge clr )BeginIf(clr)Qout = 0;elseQout = a+b+c+d;EndQ = Qout3:0;Cp = qout4;Endmodule5分频电路的设计:Module5Div(ClkIn,ClkOut,clr)InputClkIn,clr;OutputClkOut;RegClkIn,clr,

28、ClkOut;Reg2:0 Count;Always( posedge ClkIn or negedge clr )BeginIf(clr)ClkOut = 0;ElseBeginIf(Count = 3h5)beginClkOut = 0;Count = 0;EndElseBeginClkOut = 1;Count = Count + 1;EndEndEndEndmodule66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知) 解答:略。67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知) 解答:68、一个状态机的题目用verilog实现(不过这

29、个状态机画的实在比较差,很容易误解的)。(威盛VIA 2003.11.06 上海笔试试题) 解答:略。无图。69、描述一个交通信号灯的设计。(仕兰微电子) 解答:(1) 在交通灯控制电路JTDKZ的设计中,利用状态机非常简洁地实现了对主、支干道指示灯的控制和有关单元电路的使能控制。 (2) 在定时单元CNT45S和CNT25S的设计中,根据设计要求需进行减计数,但本设计中却使用的是加法计数,只是在将计数结果转换成两位BCD码时,将计数的最小值对应转换成显示定时的最大值,计数值加1时,转换的显示值减1,依此类推。70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试) 71、

30、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱 数。 (1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计 的要求。(未知) 72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1) 画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计 工程中可使用的工具及设计大致过程。(未知) 73、画出可以检测10010串的状态图,并verilog实现之。(威盛) 74、用FSM实现101101的序列检测模块。(南山之桥) a为输入端,b为输出端,如果a连续输入为1101则b输出

31、为1,否则为0。 例如a: 0001100110110100100110 b: 0000000000100100000000 请画出state machine;请用RTL描述其state machine。(未知) 解答:只处理73;代码的书写是采用Case语句来完成的。例如:ModuleCheck( clk,clr,S,Q)Inputclk,S,clr;OutputQ;Regclk,S,clr;RegQ;Reg2:0 State;Parameter A = 3h1; B = 3h2; C = 3h3; D = 3h4; E = 3h5;always(posedge clk or negedge

32、 clr)beginif(clr)Q = 0;ElseBeginSwitch (State):A:if( S )beginState = B;Q = 0;endElsebeginState = A;Q = 0;endB:if( S )beginState = B;Q= 0;endElsebeginState = C;Q= 0;EndC:if( S )beginState = B;Q= 0;endElsebeginState = D;Q= 0;endD:if( S )beginState = E;Q=0;endElsebeginState = A;Q=0;endE:if( S )beginSta

33、te = B;Q=0;endElsebeginState = A;Q = 1;EndDefault : state = A;Endcase;Endmodule75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦大唐笔试) 解答:如上解。解答略。76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦大唐笔试)77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为35v假设公司接到该项目后,交由你来负责该产品的设计,试讨论

34、该产品的设计全程。(仕兰微电子) 解答:我对于此产品的设计应该主要考虑产品的功用,是否实现产品的功能成为产品设计的核心问题。首先在看到用户的要求是实现一个对数,并且y为二进制小数输出,看到了这里要明确在硬件设计中出现小数的处理是要用移位运算来将小数转换计算的。也就是说这里的小数点的处理也是固定,只要改变整数部分和小数部分即可。对于输入的要求为4位二进制,则可以表示的范围为0F,因为这里的输入的数据不是很多,所以我可以采用状态机的方式来实现。利用工具将这里的16位数据计算出来然后取此处计算出来的从高位数到小数点后2位即可,作为输出显示。设计完了产品要作进一步的调试工作,以确保产品的正常运行。在产

35、品的开始也要注意产品的包装的设计,只有外包装和产品的核心都按要求完成了,这项产品才算合格。78、sram,falsh memory,及dram的区别?(新太硬件面试) 解答:SRAM是英文Static RAM的缩写,它是一种具有静志存取功能的内存,不需要刷新电路即能保存它内部存储的数据。不像DRAM内存那样需要刷新电路,每隔一段时间,固定要对DRAM刷新充电一次,否则内部的数据即会消失,因此SRAM具有较高的性能,但是SRAM也有它的缺点,即它的集成度较,相同容量的DRAM内存可以设计为较小的体积,但是SRAM却需要很大的体积,所以在主板上SRAM存储器要占用一部分面积,在主板上哪些是SRAM

36、呢?一种是置于CPU与主存间的高速缓存,它有两种规格:一种是固定在主板上的高速缓存(CacheMemory );另一种是插在卡槽上的COAST(Cache On A Stick)扩充用的高速缓存,另外在CMOS芯片1468l8的电路里,它的内部也有较小容量的128字节SRAM,存储我们所设置的配置数据。还有为了加速CPU内部数据的传送,自80486CPU起,在CPU的内部也设计有高速缓存,故在Pentium CPU就有所谓的L1 Cache(一级高速缓存)和L2Cache(二级高速缓存)的名词,一般L1 Cache是内建在CPU的内部,L2 Cache是设计在CPU的外部,但是Pentium

37、Pro把L1和L2 Cache同时设计在CPU的内部,故Pentium Pro的体积较大。最新的Pentium II又把L2 Cache移至CPU内核之外的黑盒子里。SRAM显然速度快,不需要刷新的*作,但是也有另外的缺点,就是价格高,体积大,所以在主板上还不能作为用量较大的主存。现将它的特点归纳如下:优点,节能、速度快,不必配合内存刷新电路,可提高整体的工作效率。缺点,集成度低,相同的容量体积较大,而且价格较高,少量用于关键性系统以提高效率。SRAM使用的系统:CPU与主存之间的高速缓存。CPU内部的L1L2或外部的L2高速缓存。CPU外部扩充用的COAST高速缓存。CMOS 146818芯

38、片(RTCMOS SRAM)。SDRAM,即Synchronous DRAM(同步动态随机存储器),曾经是PC电脑上最为广泛应用的一种内存类型,即便在今天SDRAM仍旧还在市场占有一席之地。既然是“同步动态随机存储器”,那就代表着它的工作速度是与系统总线速度同步的。SDRAM内存又分为PC66、PC100、PC133等不同规格,而规格后面的数字就代表着该内存最大所能正常工作系统总线速度,比如PC100,那就说明此内存可以在系统总线为100MHz的电脑中同步工作。与系统总线速度同步,也就是与系统时钟同步,这样就避免了不必要的等待周期,减少数据存储时间。同步还使存储控制器知道在哪一个时钟脉冲期由数

39、据请求使用,因此数据可在脉冲上升期便开始传输。SDRAM采用3.3伏工作电压,168Pin的DIMM接口,带宽为64位。SDRAM不仅应用在内存上,在显存上也较为常见。闪存是一种长期动力的非易失性的存储器,它能在被称为block的存储单位中进行删除和改编。闪存是电可擦除只读存储器(EEPROM)的变种,EEPROM与闪存不同的是,它能在字节水平上进行删除和重写,这样EEPROM就比闪存的更新速度慢。闪存通常被用来保存控制代码,比如在个人电脑中的基本输入输出系统(BISO)。当BIOS需要被改变(重写)时,闪存可以写到block(而不是字节)大小,使它更容易被更新。另一方面,闪存不像任意存取存储

40、器(RAM)一样有用,因为任意存取存储器必须是在字节(而不是block)水平可设定地址的。DRAM(Dynamic Random-Access Memory),即动态随机存储器最为常见的系统内存。DRAM 只能将数据保持很短的时间。为了保持数据,DRAM 必须隔一段时间刷新(refresh)一次。如果存储单元没有被刷新,数据就会丢失。 79、给出单管DRAM的原理图(西电版数字电子技术基础作者杨颂华、冯毛官205页图9 14b),问你有什么办法提高refresh time。(Infineon笔试)解答:降低温度,增大电容存储容量 80、Please draw schematic of a co

41、mmon SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? (威盛笔试题 circuit design-beijing-03.11.09) 81、名词:sram,ssram,sdram 名词IRQ,BIOS,USB,VHDL,SDR IRQ: Interrupt ReQuest BIOS: Basic Input Output System USB: Universal Serial Bus VHDL: VHIC Hardware Desc

42、ription Language SDR: Single Data Rate sram:staticrandomaccessedmemory,静态随机访问存储器ssram:synchronoussram,同步sramsdram:synchronousdynamicrandomaccessedmemory,同步动态随机访问存储器压控振荡器的英文缩写(VCO)。 动态随机存储器的英文缩写(DRAM)。 名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、 IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FI

43、R IIR DFT(离散 傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡 PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称1. 同步电路和异步电路的区别是什么?答:同步电路是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。比如触发器,当上升延到来时,寄存器把D端的电平传到Q输出端。异步电路主要是组合逻辑电路,用于

44、产生地址译码器、FIFO或RAM的读写控制信号脉冲,但它同时也用在时序电路中,此时它没有统一的时钟,状态变化的时刻是不稳定的,通常输入信号只在电路处于稳定状态时才发生变化。也就是说一个时刻允许一个输入发生变化,以避免输入信号之间造成的竞争冒险。2. 什么是同步逻辑和异步逻辑?答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 3. 什么是组合逻辑电路和时序逻辑电路?答:数字电路根据逻辑功能的不同特点,可以分成两大类,一类叫组合逻辑电路(简称组合电路),另一类叫做时序逻辑电路(简称时序电路)。组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关。而时序逻辑电路在逻辑功能上的特点是任意时刻的输出不仅取决于当时的输入信号,而且还取决于电路原来的状态,或者说,还与以前的输入有关。4. 什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?答:线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。 同时在输出端口应加一个上拉电阻。OC门,又称集电极开路(漏极开路)与非门门电路,Open Collector(Open Drain)。为

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号