赵嘉妮数据采集与传输系统设计.doc

上传人:仙人指路1688 文档编号:4142296 上传时间:2023-04-07 格式:DOC 页数:18 大小:387KB
返回 下载 相关 举报
赵嘉妮数据采集与传输系统设计.doc_第1页
第1页 / 共18页
赵嘉妮数据采集与传输系统设计.doc_第2页
第2页 / 共18页
赵嘉妮数据采集与传输系统设计.doc_第3页
第3页 / 共18页
赵嘉妮数据采集与传输系统设计.doc_第4页
第4页 / 共18页
赵嘉妮数据采集与传输系统设计.doc_第5页
第5页 / 共18页
点击查看更多>>
资源描述

《赵嘉妮数据采集与传输系统设计.doc》由会员分享,可在线阅读,更多相关《赵嘉妮数据采集与传输系统设计.doc(18页珍藏版)》请在三一办公上搜索。

1、湖南文理学院芙蓉学院课程设计报告课程名称: 电子技术课程设计 专业班级: 芙蓉通信工程0901班 学生姓名: 赵 妮 指导教师: 伍 宗 富 完成时间: 2011年6月25日 报告成绩: 评阅意见: 评阅教师 日期 教学工作部制题目二 数据采集与传输系统设计 一、任务 设计制作一个用于8路模拟信号采集与单向传输系统。系统方框图参见图1。 二、要求 1基本要求 (1)被测电压为8路05V分别可调的直流电压。系统具有在发送端设定8路顺序循环采集与指定某一路采集的功能。 (2)采用8位A/D变换器。 (3)采用3dB带宽为30kHz50kHz的带通滤波器(带外衰减优于35dB/十倍频程)作为模拟信道

2、。 (4)调制器输出的信号峰-峰值vsp-p为01V可变,码元速率16k波特(码元/秒);制作一个时钟频率可变的测试码发生器(如0101码等),用于测试传输速率。 (5)在接收端具有显示功能,要求显示被测路数和被测电压值。 2发挥部分 (1)设计制作一个用伪随机码形成的噪声模拟发生器,伪随机码时钟频率为96kHz,周期为127位码元,生成多项式采用 。其输出峰-峰值 为01V连续可调。 (2)设计一个加法电路,将调制器输出 与噪声电压 相加送入模拟信道。在解调器输入端测量信号与噪声峰-峰值之比( ),当其比值分别为1、3、5时,进行误码测试。测试方法:在8路顺序循环采集模式下,监视某一路的显示

3、,检查接收数据的误码情况,监视时间为1分钟。 (3)在( )=3时,尽量提高传输速率,用上述第(2)项的测试方法,检查接收数据的误码情况。 (4)其它(如自制用来定量测量系统误码的简易误码率测试仪,其方框图见图2。 数据采集与传输系统设计 通信工程专业学生:赵妮 指导教师:伍宗富老师(湖南文理学院 电气与信息工程学院,湖南 常德 415000)摘 要:为实现8路数据的采集和单向传输,在发送端和接收端个用一片可以精确设定波特率的89C52单片机。控制数据采集、通信和结果显示;通信方式为FSK调制,锁相解调;为提高通信可靠新,采用二维奇偶校验码和连续发送/三中取二接收。此外,在软件中进行了功能扩展

4、,用户可以通过键盘操作实现数据通道的切换和精确的波特率分档,使整个系统控制更趋向于智能化。Abstract: In order to achieve the 8-channel data acquisition and one-way transmission, the transmitter and a receiver can be precisely set with a baud rate of the 89C52 microcontroller. Control data acquisition, communication, and results; means of commun

5、ication for the FSK modulation, phase-locked demodulation; to improve the communication reliability of new, two-dimensional parity code and continuous transmission / reception Third take the two. In addition, the software for the extensions, users can access data through the keyboard switching and p

6、recise baud rate tranches, so that the whole system control tend to be more intelligent.1 系统结构设计1.1:八路模拟信号的产生与A/D转换器。1.2:发送端得采集与通讯控制器。1.3:二进制数字调制器。1.4:解调器1.5:3dB带宽30-50HZ的带通滤波器作为模拟通道。1.6:时钟频率可变的测试码发生器。1.7:接收端采集结果显示电路。1.8用伪随机码形成的噪声模拟发生器。2.9加法电路。3.0通信编码与软件纠错。2 系统硬件设计2.1 八路模拟信号的产生与A/D转换 被测电压为05V通过电位器调节

7、的直流电压,A/D变换器采用专业芯片ADC0809,分辨率为8位,最大不可调误差小于11.SB。2.2发送端得采集与通讯控制器: 用单片机作为这一控制系统的核心,接受来自ADC0809的数据,并利用单片机内置的专用串行通信电路将数据进行并串转换后输出至调制器,单片机通过接口芯片与键盘相连,由键盘控制采集方式是循环采集或选择采集,同时也可以利用键盘进行其他扩展功能的切换,此外,为便于通道监视和误码率测试,在发送端扩展了采集数据的显示功能,在单片机的选择方面,考虑到题目基本要求码元速率为16K波特,发挥部分要求尽量提高传输速率,因此,单片机的串口应可以比较精确的设定波特率,且波特率可变,若采用89

8、C51单片机,由内部定时器作为波特率发生器,其变化受限,不够灵活,16K波特以上只有约30K波特一档,步进过大。而89C52单片机内置专门的波特率发生器,可以以较小的步进精确设定波特率,一方面满足了题目的要求,另一方面也便于在发挥部分进一步提高波特率。2.3 二进制数字调制器: 常用的二进制数字调制方法有,对截波振幅调制的振幅键控(ASK),对截波频率调制的移动键控(FSK)和对截波和位调制的相移键控(PSK),这几种调制方法比较:首先从频带利用率来说,ASK和PSK都是2B(B为被调制基带信号的带宽),FSK则相对大一些,要2B+|f1-f2|,其中,f1、f2为FSK的两个截波频率,从误比

9、特率来看,PSK的误比特率在相同噪比的情况下,要比FSK和ASK低3DB,这样看来,用PSK应该是最好的,能够达到最好的性能,但是PSK有相位模糊问题。这样一来在解调端还要进行差分码的译码,不仅电路上更加复杂,而且差分译码时会引起误码扩散,导致误码率上升,FSK有一种特殊情况,就是当(f1=f2)=n(1/2)比特率,能够产生一种恒定包络,连续相位的调制信号MSK,它的优点是能量主要集中在频率的较低处,综合考虑三种调制方式的特点,并结合电路的复杂度情况,最终选择用FSK调制方式,考虑到要尽量提升码元率,并且在16k比特时能满足MSK的条件,最终选择两个载波频率为32KHZ和48KHZ,并且用单

10、片函数发生芯片XR2206为核心构成FSK调制电路,它在进行FSK调制时相位是连续变化的。2.4 解调器:采用锁相环FSK解调方式,锁相环相当于一个中心频率能够跟踪输入信号频率变化的窄宽滤波器。利用锁相环的跟踪功能,使载波和相位同步提取不仅频率相同,而且相位差也很小,它的窄宽滤波特性,可以改善同步系统的噪声性能,做到低门限鉴频,他的记忆特性,可以使输入信号中断后,在一定时间内保持同步选用集成锁相环MN7411C4046组成FSK解调电路,其最高频率能达到12MHZ。完全能满足要求,但使用时应注意正确选择1.PF参数和VCO部分的外接电阻参数,以控制锁定频率范围。2.5 3dB带宽为30-50H

11、Z的带通滤波器: 方案一:有源运放滤波器方案,电路采用阻容元件,体积小,有大量现成的表格可以供设计时查阅,但其干扰较大,对元器件的数值误差敏感,某些情况下在负反馈回路中可能产生正反馈,设置引起自激,调试起来也比较麻烦。 方案二:开关电容滤波器方案,开关电容滤波器克服了方案一的缺点,使用时钟频率控制通阻带,通带波动小,过滤带窄,阻带衰减大,使用专用芯片如1.MF100,可以获得0.1HZ-100KHA的可调中心频率,以及带外 -60dB/十倍频程的衰减是实现题目要求的带通滤波的最佳方案。最后,选择的是有滤波器的方案,采用阻容元件均有高精度、低温裂特性并且经过严格筛选。2.6 时钟频率可变的测试码

12、发生器:由于该测试码主要用于测试传输速率,对于码型没有特别要求,可以采用频率可调的方波信号,用精确波形发生器/压控振荡器芯片ICL8038,以及简单的外围电路即可构成线性误差小于百分之零点一,输出频率范围0.。1HZ-300KHZ的V/F转换电路,较好的满足了生成测试码的要求,但此电路频率稳定度较差。2.7 接收端采集结果显示电路:使用一片89C52作为数据采集-显示系统的核心,利用89C52内部集成的专用串行通信电路实现数据采集和串/并转换,并可以通过波特率编辑响应发送端波特率的变化。2.8 通信编辑于软件纠错: 由于模拟信号的噪声比较严重,为正确通信,有必要使用一定的编码方式进行检查和纠错

13、,综合考虑CPU资源的占用情况,我们选择简单有效的二维奇偶校验码作为基本校验码,但而为奇偶校验码有明显的局限性:不能见出帧数据中构成矩形的4个错码元,为进一步提高通信可靠性,我们在发送多次同一帧数据。接收端还在连续收到的三帧数据中,如果发现有两帧完全相对则认为该数据发送正确,称为“三中数二”的方式,其效果相当于一个低通滤波器。用这种方法可以有效地提高通行的可靠性,但需要注意的是,如果接收端在某一帧的连续发透过程中始终没有接到其正确帧,则拒收本帧,也即这种纠错方式不能确保所有帧有效传递。综上所诉,我们在发送端和接收端采用双CPU方案,用两片可以确定波特率的89C52单片机分别控制数据采集,通信和

14、采集结果显示,发端和手端之间为单向数据传输系统,擦应FRK调制,锁相环解锁。为提高通信的可靠性,通信编码用二维奇偶校验码,并采用连续发送/三中取二接收的通信方式。用有源运放敏带滤波器件为模拟信道滤波器。用户ICL8038构成试码发生器。3 系统原理图图1::4 单元电路分析与计算4.1 XR2206FSK调制电路 XR2206是单片函数发生器集成电路,可产生高质量、高稳定、高精度的正弦波、方波、三角波等波形,可使用外部电压获得调频或者调幅波形输出。工作频率可由外部选择,其范围为0.01HZ-1MHZ。4.2 M74DC4046 FSK 解调电路 MM74DC4046是通用的CMOS锁相环集成电

15、路,其内部主要由相位比较器P1、P2压控振荡器(VC0)、线性放大器、源极跟踪器、整形电路等构成,图5-1-2是制六构成的FSK解调电路,在确定外围元件参数时,必须根据器件有关的技术资料本系统FSK两个载波频率分别为fmin=32HZ/fmax=48KHZ,中心频率f0=40KHZ,由器件手册中的fmin-R2/C1曲线可以定出R2和C1的值。由曲线(fmin/fmin)-R2/R1可确定R2/R1的值,从而得出R1的值。4046前级比较器LM393用于将输入模拟信号转换为0-5V数字电平,提供4046的输入后级用A741构成一个2阶低通滤波器,截止频率约20KHZ,用于滤除解调输出信号中的高

16、频成分,最后再用1M393对信号进行整形,输出幅度为0-5V的数字信号4.3 带通滤波器的设计 为在通带内获得最大平坦,选择BUTTERWORTH型带通滤波器,指标为FC1=30KHZ,fch=50KHZ,阻带衰减斜率=35dB/十倍率,具体计算如下:(1) : 阶数计算,可只通过低通部分,由衰减频率=35dB/十倍频可得:W/WC=10处幅度衰减=38dB/十倍频,根据BUTTERWORTH型低通幅度函数可得:图2: 解得n=2,因此滤波器需要3阶。(2): 电路选择电路可以采用单重反馈、单位增益、单运放一次实现的低、高通三阶节,但该三阶节是灵敏度偏高,元件值误差和温度变化会严重影响滤波特性

17、。本设计采用一阶节和二阶节连方式来实现高、低通滤波器,灵敏度降低,特性比较稳定。原理图如图3所示:图3: (3) 阻容元件值得计算根据系统传输函数和BUTTERWORTH三阶多项式的表达形式,计算得:低通滤波器:C1=20nf,C2=40nf,R1=R2=R3=160欧姆高通滤波器:C1=C2=C3=10nf,R1=520欧姆,R2=270欧姆,R3=1千欧(4) Pspice仿真结果,用Oread Pspice对该通滤波器进行仿真,得到其理论宽带为27-55KHZ中心频率为30KHZ,带外衰减超过-50dB/十倍频,基本满足题目要求。4.4 测试码发生器 IC8038可变频率发生器,其输出信

18、号频率与8脚输入电压之间是近似的线性关系,由9脚输出占空比为1:1的方波作为测试码,输出频率范围为20HZ-16KHZ,即输出码率可以达到30Kbps。由于模拟信道带宽只有20kbps,在FSK调制方式下,该输出码率范围完全符合测试要求。4.5 A/D 转换电路 模数转换电路采用ADC0809与发送端单片机89C52的连接。0809是8位A/D转换芯片,只有8位分辨率,最大不可调误差小与11.SB,本电路中由于考虑到传输数据时要增加帧头,为了与数据区分,设帧头为FA,输入电压为5V时候,A/D转换后对应的数据为F1,则需要调整基准源头至5.689V,可用精密基准源1M336提供该电压。从ADC

19、0809的数据手册上查到,该芯片的供电电源最大可达6.5V,本电路中用5.75V,用可调精密电压源1M317供电。4.6 单片机和键盘显示器的接口电路 我们采用的双CPU反感在发送端和接受端分别有一个8052最小系统,包括:89C52、FPRON27128.RAM62256 地址锁存为74LS373、地址译码74LS138等,发送端采用4*4键盘作为输入控制,用于切换采集方式和实现其他扩展功能,两端同时用8个数码管显示地址和数据,以供误码率监视。单片机与键盘/显示器 的接口采用8279键盘/显示器控制芯片,实现对键盘的自动扫描、防抖动,并对显示器进行自动刷新。4.7 伪随机码发生器和加法电路(

20、发挥部分) 由n级移位寄存器构成的伪随机码(M码)发生器,其线性序列的最大长度为M=2n-1,题目要求M码周期为127=27-1位码元,所以应采用7级移位寄存器,又根据M码生成多式f(x)=x7+x3+1,确定反馈方程为F=Q3Q7。图4 为伪随机码发生器和加法器电路:用两片4级双向移位寄存器74194连成7级移位寄存器。用mo=Q1Q2Q3Q4Q5Q6Q7项控制移位寄存器的工作方式,以排除零状态。寄存器的7路输出中任何一路都可以作为模拟噪声源头。在噪声输出端用5千欧电位器调节其峰-峰值在0-1V之间变化,噪声通过一级射随器各路后运放.的同相输入端,实现与信号的相加图4:4.8 数据通道的切换

21、用模拟开关S1和S2分别在发送端和接收端实现数据通道的切换。S1控制噪声信号是否加入通信通道,但控制信号通道过模拟信号道或直接传输至信宿(此功能用于使源系统具有误码率测试功能),S1/S2都由键盘控制。 5 系统软件设计 5.1 软件功能 1.发送端可设定8路循环采集或者制定一路采集,数据采集速率为50ms一次,显示、 刷新为500ms一次。 2.软件过滤错误数据,并支持一定的纠错功能。 3.软件提供两种状态:系统工作状态-系统正常工作、使用软件过滤与纠错;信道测 试状态-不使用软件过滤与纠错, 用于对信道的观察、测试。 4.软件实现误码率测试:系统附加测试信道,使系统本身支持误码率测试与显示

22、。 5.软件实时设定波特率,从.到.挡可调节。 6.通过键盘设定噪声是否加入模拟信道。5.2 通信用帧结构与协议 系统使用两种帧结构:系统结构与误码率测试结构。系统传输帧结构为四字头:帧头、命令/地址、数据、校验误码率测试时帧结构为一字节,只有数据由于此系统为单向传输系统,故不可能有复杂的通信协议。为提高传输的正确性,我们使用了大量重发数据以及FEC方式,以提高通信正确率5.3系统软件流程图发送端软件流程如图5所示。图5:接收端工作流程与发送基本相同,只是接受端任务管理器的下属任务包括:接收数据、刷新 显示、软件过滤纠错ON/OFF波特率设置和误码率测试。5.4 带通滤波器部分源程序源程序:p

23、rocess(rst,clk) variable acc:signed (47 downto 0); begin if rst=1 then step for i in 2 downto 1 loop y1(i)0); y2(i)0); y3(i)0); y4(i)0); y5(i)0); y6(i)0); x1(i)0); x2(i)0); x3(i)0); x4(i)0); x5(i)0); x6(i)0); end loop; 复位 when 1= acc:=x1(1)*a1(1)+x*a1(2)+x1(2)*a1(2)+y1(1)*b1(1)+y1(2)*b1(2); y1(2)=y1

24、(1); y1(1)=acc(45 downto 22); x1(2)=x1(1);x1(1)acc:=x2(1)*a2(1)+y1(1)*a2(2)+x2(2)*a2(2)+y2(1)*b2(1)+y2(2)*b2(2); y2(2)=y2(1); y2(1)=acc(45 downto 22); x2(2)=x2(1);x2(1) y3(2)=y3(1); y3(1)=acc(45 downto 22); x3(2)=x3(1); x3(1) y4(2)=y4(1); y4(1)=acc(45 downto 22); x4(2)=x4(1); x4(1) y5(2)=y5(1); y5(1

25、)=acc(45 downto 22); x5(2)=x5(1);x5(1) y6(2)=y6(1); y6(1)=acc(45 downto 22); x6(2)=x6(1); x6(1)=y5(1); end case; if step6 then step=step+1; else step=1; end if; end if; y=y6(1);end process;仿真波形:5.5 伪随机码发生器源程序 源程序:library ieee;use ieee.std_logic_1164.all;entity PS7 is 实体名为“PS7”port(clk:in std_logic;

26、load:in std_logic; Q :out std_logic;); 定义实体接口end PS7;architecture behav of PS7 issignal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;beginprocess(clk,load)beginif clkevent and clk=1then 定义时钟上升沿触发if(load=1)thenc7=0;c6=0;c5=0;c4=0;c3=0;c2=0;c1=0;c0=1;Q=c7;ELSEc1=c0;c2=c1;c3=c2;c4=c3;c5=c4;c6=c5;c7=c6;c0=c7 xor c

27、4 xor c3 xor c2; 设置反馈方式Q=c7;end if;end if;end process;end behav;仿真波形: 5.6 A/D转换器源程序源程序:library ieee;use iccc.std_logic_1164.alt;use iccc.std_logic_unsigned.alt;entity adcsct isport (D: in std_logic_vector(7 down to 0); CLR EOC:in std_logic; OE ,ALE,START:out std_logic; ADDR:out std_logic_vector(2 do

28、wn to 0); DA TA:OUT STD_LOGIC_VECTOR(7 DOWN TO 0); ST:OUT NTEGER RANGE 15 DOWN TO 0);END entity adcsct;ARCHITECTURE A DCX OF adcsct IS TYPE STATE IS(ST0,ST1,ST2,ST3,ST4,ST5,ST6,ST7);SIGNAL CST,NST:STATE:=ST0;SIGNAL REGL:STD_LOGIC_VECTOR(7 DOWN TO 0);signal assrx:std_logic_vcctor(2 down to 0:=000;SIG

29、NAL LOCK:std_logic;BEGN -addrST=0;ALE=0;STATE=0;OE=0;LOCK=0; NSTST=1;ALE=1;STATE=0;OE=0;LOCK=0; NSTST=2;ALE=1;STATE=1;OE=0;LOCK=0; NSTST=3;ALE=0;STATE=1;OE=0;LOCK=0; IF (EOC=0)THEN NST=ST4; ELSE NSTST=4;ALE=0;STATE=0;OE=0;LOCK=0; IF(EOC=1)THEN NST=ST5; ELSE NSTST=5;ALE=0;STATE=0;OE=1;LOCK=0; NSTST=6

30、;ALE=0;STATE=0;OE=1;LOCK=1; NSTST=7;ALE=0;STATE=0;OE=1;LOCK=1; NSTNST=ST0; END CASE; END PEOCESS; BEGN IF(CLKENT AND CLK=1)THEN CST=NST; END IF; END PROCESS; PROCESS (LOCK) BEGN IF LOCK=1 AND LOCKEVENT THEN REGL=D; END IF; END PROCESS; PROCESS(CLK) begin if clk;event and clk=1 then if cst=st0 then a

31、ddrx=addrx+1; end if; addr=addrx; end process; DATA=REGL; END ADCX;6 系统测试6.1 功能测试 系统在发送端可以设定8路顺序循环与指定某一路采集的功能,采集的同时显示当前通道号和相应电压值。调制器输出的信号峰-峰值在0-1V之间可调,码元速率为16kbps。ISL8083测试码发生器输出频率随输入电压值可变的方波信号。接收端可以与发送端同步的显示通道号和电压值,通过监测发送和接收端的数码显示。即可判定误码情况。此外,通过正确调整1M331(VCO)的输入电压。其输出可以给伪随机码发生电路较精确地提供96KHZ的时钟。伪随机码发

32、生电路输出周期为127码元的类似噪声的信号。6.2 指标测试 1.带通滤波器特性测试 测试条件:输入正弦波交流信号。 测试仪器:AFG310型函数发生器,TDS210型数字双踪示波器。 测试结果如表6-1所示,利用测得的数据进行曲线拟合,得到该实际带通滤波器的表6-1:输入信号频率/HZ输入信号幅度/V输出信号幅度/V 增益/dB80004.40.094-33.002120004.160.24-24.778160004.080.516-17.96200004.080.96-12.568230004.081.44-9.0462600041.9-6.46612900042.3-4.80663200

33、042.62-3.67523500042.84-2.9748380003.962.94-2.587410003.962.9-2.7059440003.922.84-2.7994470003.922.64-3.4336500003.922.44-4.1179530003.922.2-5.0173560003.921.98-5.9324600003.921.7-7.2587700003.841.12-10.702900003.840.52-17.3671200003.80.168-27.0892000003.840.03-42.144中心频率约为38KHZ,带宽为27-54KHZ,在测量频率范围内

34、(远远小于10倍频程),两部阻带的衰减已经接近或超过35dB,所以实际带通滤波器的频率特性与Pspice仿真结果十分接近,满足题目要求。 2.不同信噪比下的误码率测试 测试方法:在8路顺序循环采集模式下,同时监视某一路在发送端和接收端的显示, 监视时间1分钟,记录这1分钟内显示的次数和误码次数, 测试仪器:TDS210型数字双踪示波器(用于测定信噪比)。 测试结果如表6-2所示:表6-2: 通道号 信号幅度/V 噪声幅度/V信噪(峰-峰值)比 显那次数 误码次数 0 1 200m 5 10 0 4 0.98 360m 3 10 0 2 1 500m 2 10 1 1 1 1 1当信噪(峰-峰值

35、)比为1时,由于噪声过大引起串行接口误触发,数码管显示不稳定,无法观测,认为此时全部误码。当固定信噪(峰-峰值)比等于3,尽量提高传输速率,检查接受数据的误码情况,测试方法和仪器同上。选通道2为监视对象,信号幅度1.9V,噪声幅度620mv,测试结果如表6-3所示。表6-3(1):码元速率/Kbps 16.457 17.28 19.20 20.329 23.04显示次数 10 10 9 10 10误码次数 0 0 0 0 0表6-3(2)接上表:码元速率/Kbps 24.685 26.584 28.80 31.418显示次数 10 10 9 10误码次数 0 1 2 4 3.结论由上面的测试结

36、果可以看出,系统很好的完成了题目的各项基本要求和发挥部分前三项的内容,通信通道具有较低的误码率,并且在信噪比固定为3的情况下,实现了较高的码元传输率。7 课程设计心得体会 课程设计已经结束了,通过此次课程设计,使我更加扎实的掌握了有关EDA、数电、方面的知识,另外,此次课题有用到我们没有学过的单片机,通过找资料,问同学,老师,也终于能够了解单片机,做出此次设计。在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。过而能改,善莫大焉。在课程设计过程中

37、,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了,在设计中遇到了很多问题,通过努力,终于游逆而解。在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,只有这样,才能成功的做成想做的事,才能在今后的道路上劈荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦,也永远不可能得到社会及他人对你的认可!课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我许多道,给了我很多思,给了我莫大

38、的空间。同时,设计让我感触很深。使我对抽象的理论有了具体的认识。通过这次课程设计,我掌握了常用元件的识别和测试;熟悉了常用仪器、仪表;了解了电路的连线方法;以及如何提高电路的性能等等,掌握了焊接的方法和技术,通过查询资料,也了解了收音机的构造及原理。我认为,在这学期的实验中,不仅培养了独立思考、动手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都能变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。回顾起此课程设计,至今我仍感慨颇多,从理论到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号