EDA课程设计多功能波形信号发生器.doc

上传人:仙人指路1688 文档编号:4146516 上传时间:2023-04-07 格式:DOC 页数:37 大小:2.03MB
返回 下载 相关 举报
EDA课程设计多功能波形信号发生器.doc_第1页
第1页 / 共37页
EDA课程设计多功能波形信号发生器.doc_第2页
第2页 / 共37页
EDA课程设计多功能波形信号发生器.doc_第3页
第3页 / 共37页
EDA课程设计多功能波形信号发生器.doc_第4页
第4页 / 共37页
EDA课程设计多功能波形信号发生器.doc_第5页
第5页 / 共37页
点击查看更多>>
资源描述

《EDA课程设计多功能波形信号发生器.doc》由会员分享,可在线阅读,更多相关《EDA课程设计多功能波形信号发生器.doc(37页珍藏版)》请在三一办公上搜索。

1、目录摘要1一、设计要求2三、系统设计思路33.1 波形函数发生装置的选择33.2 波形输入输出控制方式的选择3四、各模块设计及仿真44.1函数发生模块44.1.1 正弦波模块44.1.2 方波模块54.1.3 递增锯齿波模块74.1.4 递减锯齿波模块84.1.5 阶梯波模块94.1.6 三角波模块104.2调控模块124.2.1波形输出控制单元124.2.2波形输入控制单元134.2.3频率控制单元154.2.4幅度控制单元164.3 D/A转换器174.4 总电路19五、 硬件测试205.1编译205.2 引脚的锁定215.3编程下载225.4 硬件验证22六、课程设计心得体会25参考文献

2、26附录27摘要本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。在调控模块中实现了调频调幅以及对于波形的输入输出控制。对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出

3、,实现数模转换的同时,保持相应位的同步实现。在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的输出波形,经过反复修改调试,最终试验成功。关键词:智能信号发生器 VHDL MAX+PLUSII TLC7528 一、设计要求要求设计一个智能函数发生器,该智能函数发生器能够产生递增锯齿波、递减锯齿波、方波、三角波、正弦波以及阶梯波,并且可以通过选择开关选择输出相应波形,具有一定的调幅和调频功能。同时具有复位的功能,通过按键确定输出的波形及确定是否

4、输出波形。 二、系统设计方案1、系统的整体原理框图:时钟clk调 频输入控制三 角 波 阶 梯 波正 弦 波方 波递减锯齿 波输 出波形选择复位reset递增锯齿波调 幅D/A转换器 图 1 系统的整体原理框图2、系统框图图 2 任意波形信号发生器系统框图三、系统设计思路3.1 波形函数发生装置的选择波形函数发生方案众多,我们要选择一种易于实现且精度高的方案,以此来提高本设计的实用性。方案一:通过单片机控制D/A,输出三种波形。此方案输出的波形不够稳定,抗干扰能力弱,不易调节。方案二:利用MAX038芯片组成的电路输出波形。MAX038是精密高频波形产生电路,无法实现阶梯波和递增递减锯齿波的产

5、生,所以舍弃此方案。方案三:用VHDL语言编写程序,调试成功后下载至实验装置的芯片上,再利用外接D/A转换电路(TLC7528)实现以上设计功能。此种方案完全可以生成设计要求的6种波形,而且通过软件仿真可以直观的观测的输出的波形参数,方便调试和更改波形参数,外围电路简单,减少器件损耗,精度高。因此在本次设计中我们选择了VHDL。3.2 波形输入输出控制方式的选择方案一:控制多路D/A开关输出方式此种方案为每一路输出的波形函数使用一路D/A转换后输出,通过控制开关控制每一路D/A是否工作,决定输出的波形。此种方案可以同时输出多路波形,但是需要路D/A转化器,外围电路复杂,制作成本较高而且控制复杂

6、。方案二:采用数据选择器方式此种方案可以利用VHDL语言写出数据选择器,然后每种函数发生器的输出和数据选择器输入相连接,通过控制开关选择对应的波形输出。方案二完全可以得到方案一的设计要求,而且只需一个D/A转换器就可以。电路不需要外部搭建,节约成本且控制简单方便。基于方案二的设计简便、节约制作元件和成本、控制简便等优点,选择方案二作为波形函数输出控制方式。四、各模块设计及仿真经过功能分析,将系统分为两大模块,分别为函数发生模块和调控模块。函数发生模块包括:正弦波模块、三角波模块、方波模块、阶梯波模块、递增或递减锯齿波模块;调控模块包括:选频模块、调幅模块、输入控制模块以及输出控制模块。4.1函

7、数发生模块4.1.1 正弦波模块正弦波sin的VHDL程序如附录所示,其中CLK是输入时钟端口,RESET为输入复位端口,D_OUT7.0为八位二进制输出端口。SIN_ROM正弦波函数发生模块框图如下图所示:图3 正弦波函数发生模块框图 正弦波可用两种方法,即计算法和查表法产生。计算法要用浮点运算,复杂且耗时太长,一般不采用。查表法是事先将正弦波的数据计算出来,列表放在程序中,运行时直接调取数据。用公式y=127.5+127.5sin(360n/m)可计算出正弦波的输出值,公式中的m为输出点数,n=1,2,m。m值取小一些可以提高波形频率,但波形畸变会增大,增加输出点虽然可以改善波形,但输出频

8、率会降低,实践表明,m取64时,可以得到很好的正弦波。BEGIN IF RESET=1THEN D_OUT=0; ELSIF CLKEVENT AND CLK=1THEN IF TMP=63 THEN TMP:=0; ELSE TMP:=TMP+1; END IF;正弦波函数波形仿真图如下图所示:图4 正弦波函数波形仿真图如图所示,复位信号为高电平时,输出清零,否则正常输出,但幅度未从0-255,原因是考虑到示波器基准电平的问题,人为的提高最低电平到50.4.1.2 方波模块方波的VHDL程序如附录所示,其中CLK是输入时钟端口,RESET为输入复位端口,Q_OUT7.0为八位二进制输出端口。

9、方波波函数发生模块框图如下图所示:图5 方波函数发生模块框图 方波只有两个值,可以采用两个极端值0和FFH。根据输出标志A的数值输出对应的数值,当A=0输出0,也即是方波周期中的低电平,当A=1,输出255,也即是方波周期中的高电平。连续的输出便成了观测到的方波波形。其VHDL描述如下:IF (RESET=1) THEN A=0; ELSIF CLKEVENT AND CLK=1 THEN IF (TMP=1111)THEN TMP:=0000; ELSE TMP:=TMP+1; END IF; IF (TMP=1000)THEN A=1; ELSE A=0; END IF; END IF;

10、END PROCESS; PROCESS(CLK,A) BEGIN IF CLKEVENT AND CLK=1 THEN IF A=1THEN Q=11111111; ELSE Q=00000000; END IF; END IF;方波函数波形仿真图:图6 方波函数波形仿真图如图所示,复位信号为高电平时,输出清零,否则正常输出。4.1.3 递增锯齿波模块递增锯齿波的VHDL程序如附录所示,其中CLK是输入时钟端口,RESET为输入复位端口,Q_OUT7.0为八位二进制输出端口。递增锯齿波函数发生模块框图如下图所示:图 7 递增锯齿波函数发生模块框图 程序设计的当复位信号为1时,输出为0,无对应

11、的波形产生。当复位信号为0时,每当检测到时钟上升沿时,计数器值加1,当增加到最大后清零。计数值增加呈现线性关系,因此输出的波形是递增的斜波。从仿真波形图也能看出这种变化规律。VHDL描述为:IF TMP=11111111 THEN TMP:=00000000;ELSE TMP:=TMP+1;END IF;END IF;递增锯齿波函数波形仿真图如下图所示:图 8 递增锯齿波函数波形仿真图4.1.4 递减锯齿波模块递减锯齿波的VHDL程序如附录所示,其中CLK是输入时钟端口,RESET为输入复位端口,Q_OUT7.0为八位二进制输出端口。递减锯齿波函数发生模块框图如下图所示:图 9 递减锯齿波函数

12、发生模块框图 程序设计的是复位信号为0时输出为0,无对应的波形产生。当复位信号为1时,当每当检测到时钟上升沿时,计数值减1,当减到0后赋值到最大。计数值减少呈现线性关系,因此输出的波形是递减的锯齿波。从仿真波形图也能看出这种变化规律。VHDL描述为: IF RESET=1 THEN TMP:=11111111; ELSIF CLKEVENT AND CLK=1 THENIF TMP=00000000 THEN TMP:=11111111;ELSE TMP:=TMP-1; -阶梯为1,递减信号的变化END IF; END IF;递减锯齿波函数波形仿真图如下图所示:图10 递减锯齿波函数波形仿真图

13、4.1.5 阶梯波模块阶梯波的VHDL程序如附录所示,其中CLK是输入时钟端口,RESET为输入复位端口,Q_OUT7.0为八位二进制输出端口。阶梯波函数发生模块框图如下图所示:图 11 阶梯波函数发生模块框图阶梯波设计的是数据的递增是以一定的阶梯常数向上增加,所以输出的波形呈现是成阶梯状的,而不是,完全呈现是直线增长。从仿真波形图也能看出这种变化规律。VHDL描述如下: IF RESET=1 THEN TMP:=00000000; ELSIF CLKEVENT AND CLK=1 THEN IF TMP=11111111THEN TMP:=10000000; ELSE TMP:=TMP+16

14、; END IF; END IF;阶梯波函数波形仿真图如下图所示:图 12 阶梯波函数波形仿真图如图所示,复位信号为高电平时,输出清零,否则正常输出。4.1.6 三角波模块三角波的VHDL程序如附录所示,其中CLK是输入时钟端口,RESET为输入复位端口,Q_OUT7.0为八位二进制输出端口。三角波函数发生模块框图如下图所示:图 13 三角波函数发生模块框图程序设计的是reset复位信号为0时输出为0,无对应的波形产生。当复位信号为1时,当每当检测到时钟上升沿时,当计数的数据不是最大值时,数值做递增运算,当增大到最大时,然后再做递减运算,因此输出的波形便呈现出三角波的形状。从仿真波形图也能看出

15、这种变化规律。VHDL描述如下: IF A=0 THEN IF TMP=11111110 THEN TMP:=11111111; A:=1; ELSE TMP:=TMP+1; END IF; ELSE IF TMP=00000001THEN TMP:=00000000; A:=0; ELSE TMP:=TMP-1; END IF; END IF; END IF;三角波函数波形仿真图如下图所示:图14 三角波函数波形仿真图4.2调控模块4.2.1波形输出控制单元波形输出控制单元中只包括一个数据选择器模块。该模块为6选1的数据选择器,包括6个数据输入端,3个数据选择输入端和一个数据输出端。其元件框

16、图如下图所示:图15 波形输出控制单元模块框图其功能是根据数据选择输入端输入的数据来选择相应的数据送到输出端。从而实现数据的选择输出。其VHDL描述如下所示:ARCHITECTURE BEHAV OF MUX61 IS SIGNAL SEL:STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINSELQQQQQQNULL;END CASE; END PROCESS;END BEHAV;仿真波图如下图所示:图16 波形输出控制单元波形仿真图当S2S1S0=000时,选着输出信号一。4.2.2波形输入控制单元波形输入控制单元中不仅包括一个数据选择器模块,该模块为6选1的数据选择器,而

17、且更重要的是还有D/A控制信号CS和WR的控制程序,包括1个数据输入端、3个数据选择输入端、6个数据输出端以及2个控制信号输出端。其元件框图如下图所示:图 17 波形输入控制单元模块框图其功能是选择要控制的函数单元模块,并且实现数据和TLC7528的同步。CS和WR的VHDL描述如下所示: IF(S=1)THEN Q1 THEN CS=0; ELSE CS3 AND Q6) THEN WR=0; ELSE WR=1; END IF; IF Q=111 THEN COUT=1; Q=000; ELSE COUT=0; END IF; END IF;仿真波图如下图所示:图18 波形输入控制单元波形

18、仿真图当A2A1A0=010时,选着输出信号Y3。4.2.3频率控制单元频率控制单元中包括一个分频模块同时也包括一个挑频模块,挑频模块为简单的四选一数据选择器,分频模块将输入的时钟信号进行2、4、8、16分频,其元件框图如下图所示:图19 分频单元模块框图分频模块VHDL语言描述:IF (clkEVENT AND clk=1) THENIF(count=1111) THENCount 0);ELSECount FF:=SCOPE_IN; WHEN01=FF(6 DOWNTO 0):=SCOPE_IN(7 DOWNTO 1); FF(7):=0; WHEN10=FF(5 DOWNTO 0):=S

19、COPE_IN(7 DOWNTO 2); FF(7):=0;FF(6):=0; WHEN OTHERS=FF(4 DOWNTO 0):=SCOPE_IN(7 DOWNTO 3); FF(7):=0;FF(6):=0;FF(5):=0;END CASE;仿真波图如下图所示:图22 幅度控制单元波形仿真图当MODE1MODE0=01时,进行1/2调幅。4.3 D/A转换器在整体系统中,波形的幅度值被量化成数字值,通过一组数据线输出代表二进制编码的电平信号,为了将数字信号装换成模拟信号,需要用到数-模转换器,简称DAC(Digital-Analog Converter)。为了数据处理的准确性,DAC

20、转换器必须有足够的转换精度。同时为了能够在较高频率下工作,它必须有足够快的转换速度。因此,转换精度和转换速度是衡量DAC转换器性能优劣的主要指标。本实验采用的D/A转换器是TLC7528,他的引脚图如下图所示:图23 TLC7528引脚图TLC7528通过数据总线,DACB控制信号与微处理器接口。当和均为低电平时,TCL7528模拟输出(由DACA/DACB控制线指定)对DB0DB7数据总线输入端的活动做出响应。在此方式下,输入锁存器是透明的,输入数据直接影响模拟输出。当或信号变为高电平时,DB0DB7输入端上的数据被锁存,直至或信号再次变低为止。当为高电平时,不管WR信号的状态如何,数据输入

21、被禁止。图24 TLC7528时序图根据的他的时序电路图,由于当CS和WR同时低电平有效时才能输出数据,在输入控制模块设计了一段时序控制程序如下所示: IF(S=1)THEN Q1 THEN CS=0; ELSE CS3 AND Q6) THEN WR=0; ELSE WR=1; END IF; IF Q=111 THEN COUT=1; Q=000; ELSE COUT=0; END IF; END IF;控制部分波形图如下所示:图25 TLC7528控制信号波形图4.4 总电路通过以上各个模块的细化和分析,最终在Max+plus中完成了整个系统的联合调试,并通过示波器读输出信号的波形符合设

22、计的要求。调试整个系统了原理图如下图所示:图 26 总电路图整图仿真图 27 总电路图仿真波形由此图得出的结论:此为二分频,幅度不变,方波信号,根据TLC7528的时序特点,在CS和WR同为低电平时,有模拟方波的输出。五、 硬件测试5.1编译(1)选择目标器件 Assign-Device-DeviceFamily-FLEX10K-EPF10K10LC84-3-OK 图 28 器件选择窗口(2)启动编译器 Max+PlusII-Compiler-Start将以上模块分别编译通过,并将它们全部保存到自己所建的工程文件夹:kechengsheji-1中。5.2 引脚的锁定确定设计实体输入输出端口与目

23、标芯片引脚的对应关系图29 引脚锁定界面将管脚安排写成表格形式如下表所示:表1 器件管脚安排端口管脚安排端口管脚安排端口管脚安排CLKINPUT=1DATA5OUTPUT=19S2INPUT=37DATA0OUTPUT=61DATA6OUTPUT=21TIAOFU0INPUT=36DATA1OUTPUT=62DATA7OUTPUT=22TIAOFU1INPUT=35DATA2OUTPUT=64RESETINPUT=28TIAOPIN0INPUT=30DATA3OUTPUT=65SOINPUT=39TIAOPIN1INPUT=29DATA4OUTPUT=18S1INPUT=38WROUT=235

24、.3编程下载1用下载电缆把计算机的并口与目标板(实验开发系统),连接好并打开电源。2.将已经完成好的顶层原理图设一次当前工程,然后将其在Max+plus-Compiler下编译一次,将引脚锁定图在Max+plus-FloorplanEditor中打开,再编译一次管脚。3. Max+plus-Programmer-Option-Hardware Setup-ByteBlaster(编程方式为)-Configute,双击Enter键,进行硬件验证。5.4 硬件验证下载完成后,把示波器连接到实验箱上,并在实验箱上选择适当的时钟频率(以示波器上显示的波形清晰为标准)。准备工作完成后按下实验箱的键K1即

25、复位键,键K2和K3即为频率选择开关,键K4和键K5即为幅度选择开关。键K6、键K7、键K8组合为波形选择键,组合键的键值与对应波形关系如下表:表2 频控按键键K2键K3对应产生的分频00二分频01四分频10八分频11 十六分频表3 幅控按键键K4键K5对应产生的调幅00幅度不变01二分之一调幅10四分之一条幅11 八分之一条幅表4 按键与波形对应关系键K6键K7键K8对应产生的波形000递减锯齿波001递增锯齿波010三角波011阶梯波100方波101正弦波当K1K2K3K4K5K6K7K8=00000101;输出的是正弦波信号当K1K2K3K4K5K6K7K8=00001101;输出的是2

26、分频后的正弦波信号当K1K2K3K4K5K6K7K8=00101101;输出的是2分频和1/2调幅后的正弦波信号。六、课程设计心得体会本次课程设计完成了智能函数信号发生器的设计与实现,前后经历了几周的时间,前期初步设计可谓是顺风顺水,但是由于试验箱使用的D/A转换器是TLC7528,中期在控制TLC7528的和上遇到了一些困难,后来在输入控制模块中采用了一个简单的8进制计数器,实现了,与时钟信号同步,继而得到了模拟信号的输出,不过在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得

27、到了了稳定的输出波形,但是波形的下半部分却被消隐了,怀疑是基准电平的问题,对信号波形发生器进行了微调,如:对于正弦波发生器,本来取值范围是从0-255,人为的提高了最低电平,采取了所有电平值除二加50的措施,最后果然波形输出稳定完好,试验成功。当然本次课程还有很多不足之处,对于函数信号发生仍然可以使用LPMROM模块,同时可以采用数控分频对调频智能控制,虽然连续调幅困难相当大,但是还是可以有时间一试。总的来说,在设计中遇到了很多问题,最后在老师的指导下,终于迎刃而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,还使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把

28、所学的理论知识与实践相结合起来,从而提高自己的实际动手能力和独立思考的能力。不仅学到了不少知识,而且锻炼了自己的能力。参考文献1卢毅,赖杰.VHDL与数字电路设计.北京:科学出版社. 2 焦素敏.EDA应用技术.北京清华大学出版社,20053王志鹏,付丽琴. 可编程逻辑器件开发技术MAX+PLUSII.北京国防工业出版社.2005.34徐志军.CPLD/FPGA的开发与应用.北京电子工业出版5宋万杰,罗丰,吴顺君.CPLD技术及其应用.西安:西安电子科技大学出版社,1999附录分频模块:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_

29、LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CT74161 ISPORT(clk : IN STD_LOGIC;clk_div2 : OUT STD_LOGIC;clk_div4 : OUT STD_LOGIC;clk_div8 : OUT STD_LOGIC;clk_div16 : OUT STD_LOGIC);END CT74161;ARCHITECTURE rtl OF CT74161 ISSIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk)BEGINI

30、F (clkEVENT AND clk=1) THENIF(count=1111) THENCount 0);ELSECount = count +1;END IF ;END IF ;END PROCESS;clk_div2 = count(0);clk_div4 = count(1);clk_div8 = count(2);clk_div16 = count(3);END rtl;SAWTOOTH_ADDER递增锯齿波函数发生模块:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY S

31、AWTOOTH_ADDER ISPORT ( CLK,RESET:IN STD_LOGIC; Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END ENTITY SAWTOOTH_ADDER;ARCHITECTURE BEHAV OF SAWTOOTH_ADDER ISBEGIN PROCESS(CLK,RESET) VARIABLE TMP: STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIN IF RESET=1 THEN TMP:=00000000;ELSIF CLKEVENT AND CLK=1 THENIF TMP=11111111 THEN

32、 TMP:=00000000;ELSE TMP:=TMP+1;END IF;END IF; Q=TMP;END PROCESS;END ARCHITECTURE BEHAV;SAWTOOTH_ADDER递减锯齿波函数发生模块:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SAWTOOTH_MINUS IS - 递减锯齿波SAWTOOTH_MINUS PORT ( CLK,RESET:IN STD_LOGIC; - 复位信号RESET,时钟信号CLK Q:OUT STD_LOGIC_

33、VECTOR(7 DOWNTO 0); -输出信号Q,8位数字信号END ENTITY SAWTOOTH_MINUS;ARCHITECTURE BEHAV OF SAWTOOTH_MINUS ISBEGINPROCESS(CLK,RESET)VARIABLE TMP: STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIN IF RESET=1 THEN TMP:=11111111; ELSIF CLKEVENT AND CLK=1 THENIF TMP=00000000 THEN TMP:=11111111;ELSE TMP:=TMP-1; -阶梯为1,递减信号的变化END I

34、F; END IF; Q=TMP;END PROCESS;END ARCHITECTURE BEHAV;三角波函数发生模块LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TRIANGLE is -三角波 TRIANGLEport(CLK,RESET:IN STD_LOGIC; -复位信号RESET,时钟信号CLKQ: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -输出信号Q,8位数字信号 END TRIANGLE;ARCHITECTURE BEHAV OF

35、TRIANGLE ISBEGIN PROCESS(CLK,RESET) VARIABLE TMP : STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE A : STD_LOGIC;BEGIN IF(RESET=1) THEN TMP:=00000000; ELSIF CLKEVENT AND CLK=1 THEN IF A=0 THEN IF TMP=11111110 THEN TMP:=11111111; A:=1; ELSE TMP:=TMP+1; END IF; ELSE IF TMP=00000001THEN TMP:=00000000; A:=0; ELS

36、E TMP:=TMP-1; END IF; END IF; END IF; Q=TMP; END PROCESS; END BEHAV;方波函数发生模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SQUARE IS -方波PORT(CLK,RESET : IN STD_LOGIC; -复位信号RESET,时钟信号CLKQ : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-输出信号Q,8位数字信号END SQUARE;ARCHITECTURE BEHAV OF SQUARE ISSIGNAL A: STD_LOGIC; BEGINPROCESS(CLK,RESET) VARIABLE TMP: STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF (RESET=1) THEN A=0; ELSIF CLKEVENT AND CLK=1 THEN IF (TMP=1111)THEN TMP:=0000; ELSE TMP:=TMP+1; END IF; IF (TMP=1000)THEN A=1; ELSE A

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号