毕业设计论文基于51矿用瓦斯检测报警器硬件设计.doc

上传人:sccc 文档编号:4859622 上传时间:2023-05-20 格式:DOC 页数:43 大小:908.02KB
返回 下载 相关 举报
毕业设计论文基于51矿用瓦斯检测报警器硬件设计.doc_第1页
第1页 / 共43页
毕业设计论文基于51矿用瓦斯检测报警器硬件设计.doc_第2页
第2页 / 共43页
毕业设计论文基于51矿用瓦斯检测报警器硬件设计.doc_第3页
第3页 / 共43页
毕业设计论文基于51矿用瓦斯检测报警器硬件设计.doc_第4页
第4页 / 共43页
毕业设计论文基于51矿用瓦斯检测报警器硬件设计.doc_第5页
第5页 / 共43页
点击查看更多>>
资源描述

《毕业设计论文基于51矿用瓦斯检测报警器硬件设计.doc》由会员分享,可在线阅读,更多相关《毕业设计论文基于51矿用瓦斯检测报警器硬件设计.doc(43页珍藏版)》请在三一办公上搜索。

1、摘要本科毕业设计论文题目:基于51矿用瓦斯检测报警器 -硬件设计提示:(与“基于51矿用瓦斯检测报警器硬件设计”配套,也在百度文库里)基于51矿用瓦斯检测报警器硬件设计摘要本课题设计的是用单片机控制的甲烷浓度报警监控仪,是采用热催化原理(俗称黑白元件)探头制成的甲烷浓度测量仪,适用于中小型煤矿井、下各作业场所中测量空气中的甲烷浓度。仪器能够根据甲烷浓度报警限(1.00%)进行声、光报警,并启动排风设备,同时具有通信功能。仪器由CPU、敏感元件、看门狗监控电路、小信号放大电路、A/D转换电路、通信电路、显示电路、报警电路等组成。其中CPU是监控仪的核心,完成数据采集、处理、输出、显示等功能;敏感

2、元件是准确检测甲烷气体含量的主要元件之一,其输出是与甲烷浓度相对应的电压信号;小信号放大电路则是用来放大敏感元件输出的电压信号;A/D转换电路把放大了的电压信号由模拟信号变为数字信号送入CPU;显示电路则显示实时甲烷浓度;报警电路对超限甲烷浓度进行报警。该仪器的特点是测量范围宽,精度高;结构简单,成本低;可靠性和稳定性好,是一种电路设计新颖、参数测量准确、操作方便的矿用甲烷浓度监控仪。关键词:CPU;A/D转换电路;敏感元件;报警IIAbstractBased On 51 Mine Gas Detection AlarmHardware DesignAbstractThis topic is

3、designed MCU control the concentration of methane alarm monitoring device, is a hot catalytic principle (commonly known as Black-and-White Components) probe into the methane concentration measuring instrument, applicable to small and medium-sized coal mines well, the next in the workplace measuring

4、the concentration of methane in the air. According to the concentration of methane equipment to alarm limit (1.00 percent), sound, light alarm, and start ventilation equipment, communications function at the same time. Equipment from the CPU, sensitive components, the watchdog monitoring circuit, sm

5、all-signal amplifier, A/D converter circuit, communication circuits, display circuit, alarm circuits and other components. Monitor CPU which is the core of the completion of data collection, processing, export, display, and other functions; sensitive components are accurate detection of methane gas

6、in one of the main components, and its output is the concentration of methane corresponding to the voltage signal; small signal Larger circuit is used to enlarge the sensor output voltage signal; A/D converter circuit to enlarge a voltage signal from analog signals into digital signals into the CPU;

7、 show circuit showed real-time methane concentration; alarm circuits to gauge methane concentration alarm. The instrument is to measure the characteristics of a wide range and high precision; structure is simple, low cost, reliability and stability, is a new circuit design, measurement accurate, eas

8、y to operate the mine methane concentration monitoring instrument.Keywords: CPU;A/D converter circuit; Sensitive components; AlarmII目录目录摘要(I)Abstract(II)1 绪论(1)1.1本课题的研究背景及意义(1)1.2国内外发展概况及研究方向(1)1.3矿用瓦斯检测仪硬件设计的构思及主要任务(2)1.4 本文论的结构安排(2)2 用瓦斯检测系统的技术指标及实现功能(3)2.1 煤矿气体检测技术系统概述(3)2.2 系统的设计要求(3)2.2.1 系统的设

9、计原则(3)2.2.2 技术指标要求(4)2.2.3 系统实现的功能(5)3 甲烷检测设备的工作原理分析(6)3.1甲烷浓度检测仪的分类(6)3.2 热催化元件的结构及工作原理(7)3.2.1热催化元件的结构(7)3.2.2敏感元件工作原理(10)3.3 整机的工作原理(11)4 矿用瓦斯检测报警系统的硬件设计(12)4.1 系统设计的原理框图(12)4.2微控制器CPU的选择(13)4.3遥控键盘部分设计(16)4.3.1红外遥控的发射电路(16)4.3.2红外遥控的接受电路(20)4.4 A/D转换检测电路(23)4.4.1 A/D转换器介绍(23)4.4.2 多路开关4051(24)4.

10、5显示部分电路(25)4.6声光报警电路(26)4.7看门狗监控电路(27)4.8系统电源电路(29)5 原理图的绘制和PCB板的完成(31)5.1 Protel 99 se 介绍及电路板的绘制(31)5.2系统布局(31)5.3系统布线(32)5.4去耦合电容配置(32)6 硬件联合调试(34)6.1调试结构图(34)6.2 本设计试验条件(34)6.3 硬件调试(35)6.3.1 电源电路模块的检测与调试(35)6.3.2 甲烷检测仪CPU的调试(35)6.3.4 A/D转换、显示电路的调试(35)6.3.5 声光报警电路的检测与调试(35)7 结论(36)致谢(37)参考文献(38)21

11、 绪论1 绪论1.1本课题的研究背景及意义从我国煤炭生产的现状及我国能源结构战略规划均可看出,在本世纪中叶以前,煤炭仍将是支持我国国民经济发展的主要能源。煤炭生产作为我国能源工业的支柱,其地位将是长期的,稳定的。但是,目前煤炭工业的安全生产状况却很差,其中之一便是有害气体的危害性,包括CH4,CO,SO2等。瓦斯(CH4)是煤矿井下危害最大的气体,它是在成煤过程中形成并大量贮存于煤层之中的气体,无色、无味,有易燃、易爆等特点。瓦斯的危害主要表现为三个方面:第一、瓦斯浓度过高,对工人身体健康造成伤害,表现为缺氧,呼吸困难,窒息等;第二、瓦斯煤尘爆炸,瓦斯爆炸所产生的巨大冲击波和高温火焰,往往导致

12、群死群伤,而且扬起的煤尘又会参与爆炸,摧毁巷道,毁坏设备,甚至毁灭整个矿井,给国家和人民生命财产造成巨大损失。第三、大量的瓦斯排入大气,污染大气环境。目前我国已经使用的瓦斯报警矿灯具有体积小、结构简单、安装方便等优点,但存在的问题是传感器漂移大,要定期维护,并且需要维护的周期很短;维护方法复杂,成本较高,抗机械干扰能力较差。为了解决这些问题,本课题在分析模拟式瓦斯报警器特点的基础上,充分利用51单片机的强大功能,对瓦斯浓度进行实时采集、数据处理,对瓦斯传感器进行实时自校零、非线性补偿,对提高瓦斯检测的可靠性和系统的性价比具有十分重要的意义。1.2国内外发展概况及研究方向伴随气体传感器的发展,气

13、体监测仪器不断更新。其类型根据监测对象可分为可燃性气体监测仪,毒性气体监测仪和氧气监测仪等;从仪器结构和方法上分为袖珍式,便携式和固定式。袖珍式仪器的采样方法为扩散式,用于在危险环境中的工作人员随身携带;便携式仪器采样方法为泵吸式,用于监测人员定期安检;固定式仪器用于煤矿井下固定地点气体监测。世界各国均有煤矿瓦斯气体监测的系统,如波兰的DAN6400、法国的TF200、德国的MINOS和英国的Senturion-200等,其中全矿井综合监测控制系统有代表性的产品有美国公司生产的MSN系统,德国BEBRO公司的PROMOS系统。但是这两种系统只是基于井下监测,并无数据上传,不能实现智能化监控。国

14、外的监控系统技术虽然高于国内发展水平,但应用于国内煤矿尚有一定的局限性,如煤矿管理模式生产方式的不同,价格过高等。因此,除在传感器技术方面可供借鉴外,其它仅具一定的参考价值。我国监测监控技术应用较晚,80年代初,从波兰、法国、德国、英国和美国等引西安工业大学学士学位论文进了一批安全监测系统,装备了部分煤矿在引进的同时,通过消化、吸收并结合我国煤矿的实际情况,先后由重庆煤科院、辽宁抚顺煤科院等国内知名煤矿科学研究所研制出KJ2、KJ4、KJ8、KJ10、KJ13、KJ19、KJ38、KJ66、KJ75、KJ80、KJ92、KJ95、KJ101等煤矿有害气体监测系统,在我国煤矿己有大量使用,但其中

15、很大一部分仪表的传输数据是模拟方式,将气体浓度转化为脉冲量,易受矿井下强电磁设备干扰,造成监测结果不准确,易出现误报警等现象。1.3矿用瓦斯检测仪硬件设计的构思及主要任务目前的甲烷检测仪,除了在检测方法上的选择有不同之外,在甲烷检测仪的硬件选择上也各不相同。而本次设计主要任务就是甲烷检测仪的硬件电路的设计,这里甲烷检测仪的硬件部分采用AT89C52单片机控制。经过对比和综合考虑,遥控键盘的设计采用HS0038芯片,通过红外遥控对信号进行发射和接收,在这之前要对电源进行转换。具体设计是将220V交流经过整流后转换为12V的直流,再经过34063芯片将12V的直流电压转换为所须的5V直流电源。经过

16、黑白元件以及桥式电路后,甲烷浓度被采集成电压信号U,要显示出当前的甲烷浓度,需要经过A/D转换,在以前的学习中重点接触的是AD574等逐次比较式的转换方式,但是经过各种性能的比较,尤其是抗干扰性能的比较,本课题设计采用了双斜积分式,为方便设计和直面的检测到甲烷的浓度,选用四个LED作为显示器。经过构思目前的主要任务是根据要求选择相应的元器件、设计电路图、安装、调试,设计出符合要求的甲烷检测议硬件电路,从而实现设计要求。1.4 本文论的结构安排第一章是文章概述。介绍了该课题研究的内容及意义,国内外研究概况与动向,同时给出整个设计的构思及本论文的结构安排。第二章是本课题设计所要实现的各种技术指标以

17、及系统要实现的功能。第三章是甲烷检测设备的工作原理。对甲烷浓度监控仪作了原理分析,同时介绍了热敏感元件的结构和工作原理以及整机工作原理。第四章是硬件设计。对组成系统的CPU、电源电路、A/D转换电路、看门狗监控电路等各个模块都作了详细介绍。第五章介绍原理图的绘制以及PCB板的布局和布线。第六章是软硬件的联合调试。第七章是结束语。对整个系统作了一个概括性的总结,既介绍了仪器的优点又指出了其不足之处。致谢中对参与了课题的研究和给予帮助的老师和同学作了感谢。最后是文章的参考文献。2 矿用瓦斯检测系统的技术指标及实现功能2 矿用瓦斯检测系统的技术指标及实现功能2.1 煤矿气体检测技术系统概述煤矿气体监

18、测系统是能够监测矿井环境中瓦斯气体的浓度,具有报警功能能实现数据远传至地面监控室PC的系统。本课题中完整的煤矿气体监测系统由以下四部分组成:(1)气体传感器:能感知环境中甲烷气体及其浓度的一种敏感元件,它能将与气体种类和浓度有关的信息转换成电信号;(2)显示单元:根据测量信号,由单片机将待显示数据按相应方式进行数据传输给显示处理模块显示于仪表;(3)声光报警单元:当监测气体浓度超出设定报警值时,发出声光报警;(4)数据采集分析软件:运用C语言编写串口通讯软件,实现气体数据的采集、分析及保存煤矿气体检测系统其他的技术参数还有存储数据性能、传输数据性能、使用寿命与可靠性等1。2.2 系统的设计要求

19、2.2.1 系统的设计原则在总体结构的设计上,首先重点突出系统整体性能,价格最优的原则,本着先进、简明、实用的设计指导思想,从传感器的信号采集、处理传输,到系统软件的设计,在整体最优原则的指导下,发挥各自的设计灵活性。其次,系统整体设计上,着重考虑系统运行的可靠性和稳定性,监测的快速和准确,安装、维护的方便和经济性,操作使用的简便,少维护,免培训等。总体设计的原则有2:1.先进性尽可能使用先进、成熟的技术,有明确理论支持的技术,对不确切,但非用不可的技术要通过必要的试验和论证。2.实用性密切结合现场实际工作的要求,尽量减少对操作使用人员的专业技术水平的依赖。3.准确性通过优化电路设计和应用误差

20、理论分析,尽可能减小测量误差,提高测量精度。4.可靠性从设计阶段着手保证产品的可靠性,将可靠性贯穿于设计、工艺、加工等各个环节。5.标准化严格执行国家和煤炭部以及行业标准。6.经济性3西安工业大学学士学位论文在保证仪器功能的前提下,尽可能降低成本,以软代硬,化繁为简。7.通用性在完成自身系统配套的基础上,尽可能使产品具有良好的通用性,使之能与其它产品配套,易于扩充新的用途。8.可操作性设计要从整体出发,分步、分层实施,方案要实际设计,制造中尽量采用通用的、有替代产品的元器件及附件。9.广泛性广泛调查研究,认真分析其优缺点,充分借鉴其它仪器的优点,扬长避短,博采众长。2.2.2 技术指标要求(1

21、)工作环境条件温度:040相对湿度:98%大气压力:86116kps风速:08m/s(2) 测量范围及误差:表 2.1测量范围(%CH4)基本误差(%CH4)0.001.000.101.003.00真值的10%3.004.000.30(3)分辨率:0.01%CH4(4)显示方式:四位LED(5)测量范围:04.00% CH4(6)响应时间:20s(7)报警点:可调,设定在1.00%CH4(8)断电点:可调,设定在1.50%CH4(9)复电点:可调,设定在1.00%CH4(10)报警方式:声光报警,其中:响度:80dB光可见度:20m (11)工作方式:扩散式(12)防爆型式:矿用本安兼隔爆型(

22、13)输出信号制式:频率型2001000Hz断电信号输出:光电耦合器输出(14)技术参数工作电源:924VDCUi:18.5VDCIi:100mACi:0.1F Li:1.0mH(15)外形尺寸:155mm106mm48mm(16)重量:1.5Kg2.2.3 系统实现的功能仪器上电开机后,仪器内部进行自检。自检结果正常后,进入工作状态。探头通电10s内,显示为0.00并闪烁,10s以后显示甲烷浓度且不闪烁3。当仪器自检结果不正常时,不进入工作状态,仪器显示不变。此时表明仪器有故障,应该维修。仪器进入正常工作状态后,可通过遥控器对仪器进行校正或设定报警点、断电点、复电点。对仪器进行校正应该在仪器

23、通电预热15分钟后进行。当甲烷浓度达到4.00%以上时,为了保护黑白元件,电桥间断工作,显示器闪烁,显示的值只能作为参考。保护状态下电桥每3分钟工作15秒。当甲烷浓度低于4.00%时,电桥恢复正常工作。如果黑白元件的一臂发生开路或短路故障,仪器显示“-”。53 甲烷检测设备的工作原理分析3 甲烷检测设备的工作原理分析3.1甲烷浓度检测仪的分类1.光干涉式光干涉式是利用光波对空气和甲烷折射率不同所产生的光程差,引起干涉条纹移动来实现对不同甲烷浓度的测定。其优点是准确度高,坚固耐用,校正容易,高低浓度均可测量,还可测量二氧化碳浓度;其缺点是浓度指示不直观,受气压温度影响严重;光学零件加工复杂,成本

24、较高和实现自动检测较困难4。2.热催化式热催化式是利用甲烷在催化元件上的氧化生热引起其电阻的变化来测定甲烷浓度。其优点是元件和仪器的生产成本低,输出信号大,对于1%气样,电桥输出可达15mV以上,处理和显示都比较方便,所以仪器的结构简单,受背景气体和温度变化的影响小,容易实现自动检测。其缺点是探测元件的寿命较短,不能测高浓度甲烷,硫化氢及硅蒸气会引起元件中毒而失效。目前国内外检测甲烷的仪器广泛采用这一原理4。3.热导式热导式是利用甲烷与空气热导率之差来实现甲烷浓度的测定。其优点是热导元件和仪器设计制作比较简单,成本低、量程大,可连续检测,有利于实现自动遥测,被测气体不发生物理化学变化,读数稳定

25、,元件寿命长。其缺点是测量低浓度甲烷时输出信号小,受气温及背景气体的影响较大5。4.红外线式红外线式是利用甲烷分子能吸收特定波长的红外线来测定甲烷浓度。其优点是采用这一原理的仪器精度高,选择性好,不受其它气体影响,测量范围宽,可连续检测;其缺点是由于有光电转换精密结构,使制造和保养产生困难,而且体积大,成本高,耗电多,因此推广使用受到一定限制7。5.气敏半导体式气敏半导体的种类较多,如氧化锡、氧化锌等烧结型金属氧化物。这一原理是利用气敏半导体被加热到200时,其表面能够吸附甲烷而改变其电阻值来检测甲烷浓度。其优点是对微量甲烷比较敏感,结构简单、成本低。但当浓度大于1%CH4时,其反应迟钝,选择

26、性和线性均较差,所以很少用于煤矿井下甲烷浓度的检测,而多用于可燃气体的检漏报警。6.声速差式在温度为22、气压为101325Pa条件下,声波在甲烷中的传播速度为432m/s,而在清洁空气中为3m/s。比较这两种速度就可测定高浓度甲烷。其优点是读数不受气压影响,对背景气体、粉尘及气温变化很敏感,其缺点是不适合测量低浓度甲烷,一般只用来检测矿井抽放甲烷管道中的甲烷浓度。根据设计要求及各类检测仪的优缺点,本课6西安工业大学学士学位论文题设计采用热催化式工作原理。3.2 热催化元件的结构及工作原理3.2.1热催化元件的结构载体催化燃烧式传感器一般被制成一个便于测量的探头,探头可以单独设置,也可以作为一

27、个独立单元装配在仪器内使用7。探头内部的主要元件是黑元件(催化元件)和白元件(补偿元件),两个元件分别配置在电桥电路中,作为一组桥臂,另一组桥臂是两个固定电阻,作为电桥的比率臂。与黑白元件相对应,为使电桥在无甲烷状态下处于平衡状态,桥路内装有调零电位器W。此外,传感器电源应是经过稳压的稳压源。这种敏感元件的外观图见图3.1,其具有抗气体干扰能力强、选择性好、反应速度快、灵敏度高、线性和稳定性好、功耗低、寿命长等特点。适用于煤矿井下作业环境测量空气中的甲烷气体浓度。 图 3.1热催化元件外观图1.元件使用环境条件温度:-20+70湿度:95%RH风速:2mV4.传感器对多种可燃气体的敏感特性图3

28、.2表示CH4气体敏感元件对各种气体的反应关系,从图上我们可以看出敏感元件输出电压与对各种气体浓度之间具有较好的线性关系。图 3.2传感器对各种气体的反应关系5.温湿度的影响1)恒定湿热试验:40,95%RH,96小时(见图3.3)。Y轴表示Vo的输出电压值。图 3.3 恒定湿热实验图2)低温试验见表3.1(气敏元件在040的环境下维持4小时的数据)表 3.1 低温试验记录 代表传感器输出出现振幅在02.5V之问的振荡波型,见图3.4图 3.4 传感器震荡波形6.高浓度甲烷试验将O一7#传感器置于10%的甲烷环境中2小时,放气前后的数据状态。表 3.2 高浓度甲烷试验放气前后的数据7.稳定性

29、l)初始稳定性a.在空气中的初始稳定性贮存一周到一年的元件初始零点输出可能不为零,只需在工作点电压稳定十分钟后输出零点将归零b.在气体中的稳定性贮存一周到一年的元件刚开始下作时灵敏度可能达不到最佳点,只需在工作点电压稳定二十分钟后输出灵敏度将复原2)长期稳定性图 3.5 长期稳定性3.2.2敏感元件工作原理黑元件载体催化燃烧式元件,当甲烷气体在元件表面与氧气产生无焰燃烧时,电桥失去平衡,输出一个电压信号。白元件是补偿元件,基本结构和技术参数与黑元件相同,但表面不涂镀催化剂,不参加低温燃烧。但由于白元件处于与黑元件相同的工作环境中,所以,对非甲烷浓度变化引起的催化元件阻值变化起补偿作用,以提高仪

30、器零点稳定性和抗干扰能力8。黑白元件的工作原理:使用时一般将黑白元件串联,作为电桥的一臂,用普通电阻构成电桥的另一臂,电桥的两端加上稳定的工作电压U。当含有甲烷的空气在高温和催化剂的作用下,发生无焰燃烧,而在白元件上则不致使甲烷燃烧,从而使黑元件的温度比白元件的温度高,黑元件中的铂丝既是加热元件,又是感应温度的热敏元件,根据铂丝的正温度系数的特性,温度升高时电阻增大,黑元件上的电压降即增大,电桥失去平衡,输出一个电压信号U,该电压值的大小反映了甲烷浓度的高低,检测此电压便可测量出甲烷浓度8。图 3.6黑白元件的基本测试电路根据黑白元件的工作原理,设计电路如下图3.7。图 3.7黑白原件的工作原

31、理图3.3 整机的工作原理仪器由电源电路、甲烷气体敏感元件及电桥电路、放大电路、A/D转换电路、显示电路及报警/断电电路、看门狗电路、多路开关电路等组成。仪器将关联设备送来的电源稳压为5V电压,供给整机电路使用。甲烷气体敏感元件是采用热催化原理探头,电桥供电电压为3V。黑白元件的工作原理是:黑白元件由测量元件和补偿元件构成,测量元件的表面有黑色的催化剂。工作时黑白元件有工作电流通过而发热,空气中的甲烷在高温的测量元件的催化剂作用下,发生无焰燃烧。甲烷浓度越高,测量元件的温度越高,而补偿元件的温度不变。测量电桥输出与甲烷浓度成比例的信号电压。电桥信号电压经过放大和A/D转换后,变成数字信号,经单

32、片机处理后,由显示电路显示甲烷浓度值,并经信号输出电路输出相应的频率信号。报警/断电电路由蜂鸣器,发光二极管和驱动电路构成。当甲烷浓度超过设定的报警点时,仪器会发出声光报警信号。当甲烷浓度超过设定的断电点时,仪器会有断电指令输出;甲烷浓度超过断电点以后,只有当甲烷浓度降低到设定的复电点以下时,仪器才会解除断电指令输出9。114矿用瓦斯检测报警系统的硬件设计4 矿用瓦斯检测报警系统的硬件设计4.1 系统设计的原理框图本次设计的甲烷检测报警器的工作程序:电源电路(34063)为系统供电5V,在气体敏感元件黑白元件的作用下将接触在黑白元件上的甲烷气体转换为电压信号U,小信号U经过放大电路将信号放大,

33、再经过A/D转换、CPU的计算将输入的U转换成数字在LED上显示,当甲烷浓度超过预设的安全点时,系统会发出声光报警,此一系列信息通过频率方式传到上位机系统9。图 4.1瓦斯监测系统硬件设计原理框图12西安工业大学学士学位论文本课题设计硬件设计思路如下:图 4.2硬件设计思路4.2微控制器CPU的选择89系列同 8051 系列相比具有以下特点:4KB可编程序 FLASH 存储器(可经受1000次的写入/擦除周期);全静态工作:024MHz;三级程序存储器保密。另外,AT89C51是用静态逻辑来设计的,其工作频率可下降到 0Hz,并提供两种可用软件来控制的省电方式:空闲方式和掉电方式。它是通过控制

34、专用寄存器 PCON (电源控制寄存器)中的 PD(PCON.1 )和 IDL( PCON.0) 来实现的。PD 是掉电方式位,当 PD = 1 时激活掉电工作方式,IDL 是空闲工作位,当 IDL = 1 时激活空闲方式,当 PD 和IDL 同时为 1 时,则先激活掉电方式。本课题设计采用CPU为AT89C52。AT89C52是美国ATMEL公司生产的低电压,高性能CMOS 8位单片机,片内含8K bytes的可反复擦写的Flash只读程序存储器和256Bytes的随机存取数据存储器(RAM),器件采用ATMEL公司高密度、非易失性存储技术生产,与标准MCS-51指令系统及8052产品引脚兼

35、容,片内置用8位中央处理处理器(CPU)和Flash存储单元,功能强大AT89C52单片机适合于许多较为复杂控制应用场合9。主要性能参数:与MCS-51产品指令和引脚完全兼容8 字节可重擦写Flash闪速存储器1000次擦写周期全静态操作:0Hz24MHz三级加密程序存储器2568字节内部RAM32个可编程I/O口线3个16位定时/计数器8个中断源可编程串行UART通道低功耗空闲和掉电模式AT89C52的管脚图如图4.3: 图 4.3 AT89C52管脚图功能特性:P0口:P0口是一组8位漏极开路型双向I/O口,也即地址/数据总线复用口。作为输出口用时,每位能吸收电流的方式驱动8个TTL逻辑门

36、电路,对端口P0写“1”时,可作为高阻抗输入端用。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问期间激活内部上拉电阻。在Flash编程时,P0口接受指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。P1口:P1口是一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“1”通过内部的上拉电阻把端口拉到高电平,此时可作为输入口。作为输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流I。与AT89C51不同的是,P1.0和P1.1还可分别作为定时/计数器2的外部计数

37、输入(P1.0/T2)和输入(P1.1/T2EX)。Flash编程和程序校验期间,P1接受低8位地址。P2口:P2口是一个带内部上拉电阻的8位双向I/O口,P2的输出缓冲可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口P2写“1”通过内部的上拉电阻把端口拉到高电平,此时可作为输入口。因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流I。在访问外部程序存储器或16位地址的外部数据存储器(例如执行MOVX DPTR指令)时。P2口送出8位地址数据,在访问8位地址的外部数据存储器时,P2口输出P2锁存器的内容。P3口:P3口是具有内部上拉电阻的8位双向I/O口,P3口的输出缓冲可驱动(

38、吸收或输出电流)4个TTL逻辑门电路。对端口P3口写“1”时,它们被内部的上拉电阻拉高并可作为输入端。此时,被外部拉低的P3口将用上拉电阻输出电流I。P3口除了作为一般的I/O口线外,更重要的是它的第二功能,如表4.1所示:表 4.1 P3口管脚功能此外,P3口还接受一些用于Flash闪速存储器编程和程序校验的控制信号。RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将使单片机复位。ALE/:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节,一般情况下,ALE仍以时钟震荡频率的1/6输出固定的脉冲喜好,因此它可对外输出时钟或用于定

39、时目的。要注意的是,每当访问外部数据存储器时要跳过一个ALE脉冲。对Flash存储器编程期间,该引脚还用于输入编程脉冲()。如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位置位,可禁止ALE操作。读位置位后,只有一条MOVX和MOVC指令才能将ALE激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。:程序存储允许()输出是外部程序存储器的读选通信号,当AT89C52 由外部程序存储器指令(或数据)时,每个机器周期两次有效,即输出两个脉冲。在此期间,当访问外部数据存储器,将跳过两次信号。 /VPP:外部访问允许。欲使CPU仅访问外部程序存储器(地址为

40、0000H-FFFFH),端必须保持低电平。如果EA端为高电平,CPU则执行内部程序存储器中的指令。Flash存储器编程时,该引脚加上12V的编程允许电源Vpp,当然这必须是该器件是使用12V编程电压Vpp。XTAL1:振荡器反相放大器的内部时钟发生器的输入端。XTAL2:振荡器反相放大器的输出端。特殊功能寄存器:在AT89C52片内存储器中,80H-FFH共128个字节为特殊功能寄存器(SFE)。并非所有的地址都被定义,从80H-FFH共128个字节只有一部分被定义,还有相当一部分没有定义,对没有定义的单元读写将是无效的,读出的数值将不确定,而写入的数据也将丢失。不应将数据“1”写入为定义的

41、单元,由于这些单元在将来的产品中可能赋予新的功能,在这种情况下,复位后这些单元值总是“0”。AT89C52除了与AT89C51所有的定时/计数器0和定时/计数器1外,还增加了一个定时/计数器2。 定时/计数器2的控制状态位位于T2CON、T2MOD,寄存器对(RCA02H、RCAP2L)是定时器2在16位捕获方式或16位自动重装载方式下的捕获/自动重装载寄存器。4.3遥控键盘部分设计键盘是由若干按键组成的最简单输入设备,操作人员可以通过键盘输入数据或命令,实现简单的人机通信。由于键盘是一组按钮开关的集合,在智能仪表中,常采用软件来识别按键,所以,在键盘的设计中应同时从硬件和软件两方面加以考虑1

42、1。本系统所选用的键盘为红外遥控键盘,主要由发射电路和接受电路两部分组成。4.3.1红外遥控的发射电路芯片所用的晶振应该有足够的耐物理撞击能力,不能选用普通的石英晶体,一般是选用陶瓷共鸣器,陶瓷共鸣器准确性没有石英晶体高,但通常一点误差可以忽略不计11。红外线通过红外发光二极管(LED)发射出去,红外发光二极管内部材料和普通发光二极管不同,在其两端施加一定电压时,它发出的是红外线而不是可见光。本系统选用的芯片是CX9012。CX9012是用一块用于红外遥控系统中的专用发射集成电路,采用CMOS工艺制造。它具有32个功能键,若配合用户编码的变化则可提供256种不同的发射码,另外CX9012还提供

43、6种双重按键功能。CX9012的管脚设置和外围应用线路都进行了优化,以方便PCB版的布图和低成本的要求。主要特点: 高性能的CMOS工艺,低功耗 内置振荡电路 外围元件少 32个功能按键,8种用户编码(相当于可提供256种不同的发射码) 发射信号的LED指示管脚排列如图4.4图 4.4 CX9012管脚角图内部框图:图4.5常用红外遥控发射器码产生电路系统结构框图极限参数如表4.2(除非特别说明,Tamb=25)表 4.2 极限参数参数符号参数范围单位电源电压VDD-0.35.0V输入电压VINVSS-0.3VDD+0.3V输出电流IOUT (REO)-20mA功耗PD300mW贮存温度Tst

44、g-40+125工作温度Topr-20+75电气参数如表4.3(除非特别说明,Tamb=25,VDD=3.0V)表4.3 电气参数参数 符号 测试条件 最小值典型值 最大值单位电源电压VDD 全部功能 2 - 4 V 工作电流IDD fosc=455kHz - - 1 mA静态电流ISB 停振 - - 1 uA 高电平输入电压VIH VDD=3V 0.7VDD- VDD V 低电平输入电压VIL VDD=3V 0 - 0.3VDDV 高电平输出电流IOH VOH=1.5V, VDD=3V -10 - - mA低电平输出电流IOL VOL=1.5V, VDD=3V 5 - - mA输入下拉电阻RON VDD=3V 100 300 500 K 管脚说明如表4.4表4.4 管脚说明管脚号 符 号 输入/输出 功 能 描 述 14 KI0KI3 I 键扫描输入端(内置下拉电阻)。 5 DOUT O 发射码输出管脚。 6 VDD - 电源正端。 7 NC - 空脚。建议在使用时接电源正端。 8 OSCO O 振荡器输出管脚。 9 OSCI I 振荡器输入管脚。 10 VSS - 电源接地端。 11 LMP O 输出LED指示。 1219 KO0KO7 O 键扫描输出管脚。 20 SEL I 用户编

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号