课程设计论文冰箱温度控制器的设计.doc

上传人:sccc 文档编号:4867635 上传时间:2023-05-20 格式:DOC 页数:24 大小:820.51KB
返回 下载 相关 举报
课程设计论文冰箱温度控制器的设计.doc_第1页
第1页 / 共24页
课程设计论文冰箱温度控制器的设计.doc_第2页
第2页 / 共24页
课程设计论文冰箱温度控制器的设计.doc_第3页
第3页 / 共24页
课程设计论文冰箱温度控制器的设计.doc_第4页
第4页 / 共24页
课程设计论文冰箱温度控制器的设计.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《课程设计论文冰箱温度控制器的设计.doc》由会员分享,可在线阅读,更多相关《课程设计论文冰箱温度控制器的设计.doc(24页珍藏版)》请在三一办公上搜索。

1、黄石理工学院 课程设计报告目 录摘 要1引 言21 总的设计方案31.1 总体方案31.2 方案选择 52 系统硬件设计72.1 系统结构图72.2 微处理器72.3 温度传感器92.4显示电路112.5 功能按键122.6 压缩机,风机,电磁阀控制132.7 过欠压保护133 模糊控制算法153.1对精确值的模糊化处理163.2模糊推理规则的归纳173.3模糊量向精确量的转化184 系统软件设计194.1 主程序194.2中断服务程序205 技术总结216 结束语227参考文献23摘要近年来随着计算机在社会领域的渗透,模糊控制电冰箱的成功开发引起了人们的注意。这种电冰箱可以使食品迅速冷冻,延

2、长保存期;并可以防止冷藏室的温度过低而冻坏食品;还可以根据冰箱使用状态,在适当时候进行除霜,以减小由于除霜而对食品产生的影响;尚可根据使用情况不免不必要的冷却,以节约能源。通过对直冷式电冰箱制冷系统的改进和采用模糊控制技术,实现了电冰箱的双温双控,使电冰箱能根据使用条件的变化迅速合理地调节制冷量,且节能效果良好。现从冰箱的硬件结构框图和模糊控制器两个方面,以模糊控制算法为主线,将冰箱的温度控制过程完全地描述了出来。具体分为硬件结构框图及各功能电路的介绍、模糊控制算法、软件程序框图等三部分。由于冰箱的温度控制过程离不开对控制器的控制算法,因此本报告着重讨论了温度控制器的模糊控制算法,并举出例子进

3、行了详细阐述。关键字:模糊控制,温度控制ABSTRACT In recent years, with the penetration of computers in the social sphere, the successful development of fuzzy control refrigerator attracted peoples attention. This refrigerator can make quick frozen foods to extend shelf life; and can prevent the freezer temperature is t

4、oo low and nipped food; refrigerator can also be used according to the state, at an appropriate time to defrost, to reduce due to defrost while the impact of food; can still be bound under the unnecessary use of cooling in order to save energy. Through the direct-cool refrigerator cooling system imp

5、rovements and the adoption of fuzzy control technology to realize a dual-temperature refrigerator dual control, so that the use of refrigerators according to the conditions of a rapidly changing reasonably adjust cooling capacity, and energy-saving good effect. Are from the refrigerator and fuzzy co

6、ntroller hardware block diagram of the two aspects of the fuzzy control algorithm as the main line, the temperature of the refrigerator control process described completely out. The specific hardware block diagram is divided into functional circuits and the introduction of fuzzy control algorithms,

7、software programs such as block diagram of three parts. As the refrigerator temperature control process can not be separated on the controller of the control algorithm, this report focused on the temperature controller of the fuzzy control algorithm, citing examples described in detail. Keywords: Fu

8、zzy control, temperature control引 言现代工业设计、工程建设及日常生活中常常需要用到温度控制,早期温度控制主要应用于工厂中,例如钢铁的水溶温度,不同等级的钢铁要通过不同温度的铁水来实现,这样就可能有效的利用温度控制来掌握所需要的产品了。在现代社会中,温度控制不仅应用在工厂生产方面,其作用也体现到了各个方面,电冰箱的出现及大量普及就是一个很好的例子。随着社会发展,人们对食品温度的控制要求也越来越高,对于电冰箱的温度控制也就相应的不断提高。20世纪90年代出现的 EDA技术是电子设计的重要工具,其核心是 利用计算机完成电路设计的全程自动化,EDA技术应用于芯片设计和

9、系统设计,极大的提高了电路设计的效率和可靠性,节省了设计成本,减轻了设计人员的劳动强度。它结合传感技术及计算机等高新技术,并综合应用了机械技术发展的新成果,不管是在民用工业,还是在国民经济建设中都有着极其广泛的应用前景,广泛应用于工业自动化,智能仪器仪表的设计制造中,消费电子产品领域,通信方面及武器装备等,含盖了生产、生活、军事各个领域,实现了电子产品的准确化、智能化、最优化和多功能化,发挥着越来越重要的作用,引起了各个国家的高度重视。依靠EDA的控制技术作为现代高科技的重要组成部分,推动着自动化生产、计算机、材料加工、医疗、纺织等相关领域的发展。是衡量一个国家科学技术水平的重要标志。正由于用

10、EDA控制器改造落后的设备具有性价比高、提高设备的使用寿命、提高设备的自动化程度的特点,所以电冰箱的 电控系统也采用了EDA为其各功能控制实现的核心。而我设计的电冰箱的电控系统就是采用了EDA为控制核心,通过电路设计,扩展外围电路,实现电冰箱的温度控制,温度的显示功能,具有很强的实用性,现实性。通过本设计的研究,我不仅了解电冰箱的相关知识,还掌握了利用IP核设计电子系统,引用方便,修改基本元件的功能容易,以及一些外围芯片的使用及模拟电路的设计。1.总的设计方案1.1总体方案简介液体由液态变为气态时,会吸收很多热量,简称为“液体汽化吸热”,电冰箱就是利用了液体汽化的过程中需要吸热的原理来制冷的。

11、 蒸气压缩式电冰箱制冷系统原理图如图1.1所示,主要由压缩机、冷凝器、干燥过滤器、毛细管、蒸发器等部件组成,其动力均来自压缩机,干燥过滤器用来过滤赃物和干燥水分,毛细管用来节流降压,热交换器为冷凝器和蒸发器。制冷压缩机吸入来自蒸发器的低温低压的气体制冷剂,经压缩后成为高温高压的过热蒸气,排入冷凝器中,向周围的空气散热成为高压过冷液体,高压过冷液体经干燥过滤器流入毛细管节流降压,成为低温低压液体状态,进入蒸发器中汽化,吸收周围被冷却物品的热量,使温度降低到所需值,汽化后的气体制冷剂又被压缩机吸入,至此,完成一个循环。压缩机冷循环周而复始的运行,保证了制冷过程的连续性。 1.1.1电冰箱的系统组成

12、图1.1 电冰箱制冷系统原理图直冷式电冰箱的控制原理是根据蒸发器的温度控制制冷压缩机的启、停,使冰箱内的温度保持在设定温度范围内。冷冻室用于冷冻食品通常用于冷冻的温度为3C15C,冷藏室用于相对于冷冻室较高的温度下存放食品,要求有一定的保鲜作用,不能冻伤食品,温度一般为0C10C,当测得冷冷冻室温度高至3C 0C时或者是冷冻室温度高至10C13C是启动压缩机制冷,当冷冻室温度低于15C18C或都冷藏室温度低于0C3C时停止制冷,关断压缩机。采用单片机控制,可以使控制更为准确、灵活。1.1.2工作原理:根据冷藏室和冷冻室的温度情况决定是否开压缩机,若冷藏室的温度过高,则打开电磁冷门V1,关闭阀门

13、V2,V3,同时打开压缩机,产生高温高压过热蒸气,经过冷凝器冷凝,干燥过滤器干燥,毛细节流管降压后,在蒸发器汽化制冷,产生低温低压的干燥气体。经过电磁阀门V1 流入冷藏室,使冷藏的温度迅速降低,当温度达到要求时关闭压缩机,同时关闭电磁阀门V1 。若是冷冻室的温度过高,则应打开V2关闭V1, V3 。电磁阀门V3主要用于冷冻室的化霜。需要化箱时打开V3,从压缩机流出的高温高压气体流经冷冻室可匀速将冷冻室霜层汽化。达到化霜的效果。一般化霜的时间要短,不然会伤存放的食品。1.1.3本系统采用IP核控制的电冰箱主要功能及要求:1)设定2个测温点,测量范围:18C10C,精度0.5C;2)利用功能键分别

14、控制温度设定、冷藏室及冷冻室温度设定等;3)制冷压缩机停机后自动延时3分钟后方能再启动;4) 工作电压176V240V,当过压或欠压时,禁止启动压缩机此设计的总体框图如图1.2所示:图1.2设计总体框图外围电路是EP2C5Q208C8芯片工作的基础保障电源电路提供稳定的+5V工作电压;时钟电路用于产生EP2C5Q208C8工作所需的时钟信号;复位电路使EP2C5Q208C8实现初始化状态复位。键盘电路用于向系统输入运行参数,控制系统的运行状态。通过键盘扫描等程序设计把键盘输入的数据在液晶显示器上显示。LED电路用来显示键盘输入的数据,LM35实现对冷冻室和冷藏室的温度检测,AD522完成对温度

15、的模数转换,将信号上传给EP2C5Q208C8芯片,其功能是靠硬件电路的设计和软件程序的结合来实现的。1.2方案选择方案一 本方案确定了用单片机PID控制器对冰箱进行控制,将人工智能中的系统技术与PID控制相结合,构成一种PID控制器,使冰箱控制合理、节能、可靠,在硬件上以单片机MCS-51为核心,在软件上用C语言对单片机进行控制。 方案二 此是采用模糊控制算法,利用模拟温度传感器AD590进行设计,由单片机、模拟温度传感器AD590、运算放大器、AD转换器、44键盘、LCD显示电路、电机,集成功率放大器、报警器组成,本方案采用模拟温度传感器AD590作为测温元件,传感器将测量的温度变换转换成

16、电流的变化,再通过模拟电路将电流的变化转换成电压的变化,使用运算放大器交将信号进行适当的放大,最后通过模数转换器将模拟信号转换成数字信号,传送给单片机。单片机将温度值进行处理之后用LCD显示,当温度值超过设置值时,系统开始启动压缩机。结论利用模糊控制技术,在冷冻室需要制冷的时候,压缩机快速启动。并且设计了变频调速系统,避免了压缩机的频繁开启,使电机能够按照所需要的进行工作,使压缩机制冷更加合理有效。冷藏室制冷采用半导体和压缩机同时制冷,正常的情况下冷藏室随着冷冻室的制冷而制冷,当冷冻室需要制冷时,启动压缩机制冷,冷藏室同时也能达到制冷效果;当冷冻室不需要制冷时,这时不启动压缩机制冷,而是用半导

17、体单独对冷藏室制冷,这样控制的效果能使冷藏室基本上达到独自控制的目的。在冰箱化霜过程中,运用模拟神经智能控制技术将冰箱门开闭次数、开闭频率和最佳化霜时间加以统计和分析,根据冰箱的实际运行选择在冰箱门开闭最少的时间段内进行自动化霜,使冰箱内温度波动最小,对食品质量影响最小。开门时间过长系统则会报警。在冰箱的面板上有液晶显示器,可以显示冷冻室和冷藏室的温度,并通过按键可对冷冻室和冷藏室的温度进行调控,以达到用户所需要的温度。因此,选择方案二。2.系统硬件设计2.1系统结构图控制系统结构如2.1图所示,主要由电源开关,温度传感器,功能按键,EP2C5Q208C8芯片,延时电路,显示电路,指示灯电路,

18、故障报警装置等够成。图2.1 系统控制结构图2.2微处理器微处理器是本系统的核心,其性能的好坏直接影响系统的稳定,鉴于本系统为实时控制系统,系统运行时需要进行大量的运算,所以本文设计了一个基于VHDL的VGA显示控制木块,通过FPGA控制图像与时序信号,软件的开发环境是ALTERA公司的Quartus6.0。设计运用VHDL语言编程,配置加载FPGA芯片,经FPGA处理,信号通过D/A转换器,由VGA接口输出,设计的 主控制器采用ALTERA公司的EP2C5Q208C8芯片。图2.2 EP2C5Q208C8芯片本系统就是选用了ALTERA公司的ACEX1K系列的EP2C5Q208C8芯片,它具

19、有144个引脚,其中102个I/O通信口.在本次的基于VHDL的VGA显示控制模块设计中,电阻分压网络共用12个I/O通信口,ADV7123 D/A转换器共用30个I/O通信口,存储器模块共用26个I/O通信口。引脚的配置及功能分配情况如2-2表所示: 表2.1引脚配置功能图2.3温度传感器在自动控制、机电整合的应用中,温度的测量为常见的需求,感测温度的產品有多种型态,依特性可概分为膨胀变化型、颜色变化型、电阻变化型、电流变化型、电压变化型、频率变化型等,常见的电压变化型的温度传感器有LM35、LM335,其不同点为 LM35 之输出电压是与摄氏温标呈线性关係,而 LM335 则是与凯氏温标呈

20、线性关系。由於摄氏温标较常使用,因此本文将针对LM35做介绍。 1. 温度传感器 LM35 LM35是由National Semiconductor所生产的温度感测器,其输出电压与摄氏温标呈线性关係,转换公式如式(1),0C时输出为0V,每升高 1C,输出电压增加10mV。 LM35 有多种不同封装型式,外观如图 1 所示。在常温下,LM35 不需要额外的校准处理即可达到 1/4C的准确率。其电源供应模式有单电源与正负双电源两种,其引脚如图 2 所示,正负双电源的供电模式可提供负温度的量测;两种接法的静默电流-温度关係如图 3所示,单电源模式在25C下静默电流约50A,非常省电。 图2.3.1

21、:LM35封装及引脚排列 图2.3.2:LM35接线图 图2.3.32. 实际测试 接下来实际对LM35进行测试,测试使用单电源模式,並且将输出以非反相放大器放大十倍,如图4的电路。以10Hz 的频率记录放大后的电压值,得到如图2.3.4的温度时间图。图2.3.42.4显示模块显示器是常用的输出器件。显示器件种类很多,有LED发光二极管、LED数码管、液晶显示器LCD、阴极射线管CRT等。本电冰箱的电控系统使用的是LED数码管。如2.4图:图2.4.1 LED数码管原理图显示模块的输入信号主要来自于计时模块部分的输出信息。在输出信号中,我们采用循环点亮两个LED七段显示数码管显示输出。我们通过

22、信号来进行8个LED七段显示数码的选择,从而将输出信号送到相应的LED七段显示数码上完成秒表各位的结果显示。模块框图如3.4图所示:图2.4.2显示模块框图从图31中可以看出,显示模块有四个部分构成:八进制计数器、计时位选择电路、七段显示译码电路、显示位选择译码电路。2.5功能按键 因本系统使用的按键数目少,故按键采用硬件去抖。按键电路如图2.5 所示。用两个与非门构成一个RS触发器。当按键未按下时输出为1;刚键按下时输出为0。此时即使用按键的机器性能,使按键因弹性抖动而产生瞬时断开(抖动跳开B),只要按键不返回原来状态A,双稳态电路的状态不会改变,输出保持为0,不会产生抖动的波形。也就是说,

23、即使B点的电压波形是抖动的,但经双稳态电路之后,其输出为正规的矩形波。 图2.5.1按键电路结构图如下: 图 2.5.2结构图2.6压缩机,风机、电磁阀控制压缩机,风机工作原理是制冷系统内制冷剂的低压蒸汽被压缩机吸入并压缩为高压蒸汽后排至冷凝器。同时轴流风扇吸入的室外空气流经冷凝器,带走制冷剂放出的热量,使高压制冷剂蒸汽凝结为高压液体。高压液体经过过滤器、节流机构后喷入蒸发器,并在相应的低压下蒸发,吸取周围的热量。同时贯流风扇使空气不断进入蒸发器的肋片间进行热交换,并将放热后变冷的空气送向室内。如此室内空气不断循环流动,达到降低温度的目的。 而冰箱没有风扇靠自然对流来进行热量交换。电磁阀的工作

24、原理非常简单,阻流板就象一个闸门,一个弹簧让它处于关闭状态,上面一个电磁铁芯,铁芯(低部橡胶)压在阻流板中间(凸起)的一个小眼儿上,外面一个电磁线圈,接通电源后铁芯别吸上去,小眼儿开始进气,压力达到顶开弹簧后电磁阀打开。结构图如图2.6所示:图2.6 压缩机 风机原理图2.7过欠压保护电路为了使电冰箱安全可靠地运行,要求其电源电压在176V240V之间。因此,当电源电压小于176V或大于240V时,压缩机应自动停机并报警显示。电源的过欠压电路如2.7图所示:图2.7过欠压电路图3 模糊控制算法冰箱温度是主要的控制对象,控制的好就有显著的节能效果。影响温度的因素很多,如室内温度的高低,冰箱本身的

25、容积,开冰箱门的次数,每次开门的时间,冰箱中食品的多少,以及食品的种类和性质等等。所以要相建立冰箱温度变化的数学模型是很难的。因此可以采用模糊控制算法加以解决,下面给出食品冷藏温度表和保存时间表。表3. 1 部分食品最适宜的冷藏温度表3.2 食品在冷藏箱内能保存的时间一般鱼、肉等食品易带微生物,在低温下也容易变质,所以最好保存在冷冻室,且温度愈低,保存时间也愈长,如6时可保存一星期,12时可保存一个半月,18时可保存三个月。所以电冰箱冷藏室的温度调整在24较合适,冷冻室的温度调整在1218以下较为合适。冰箱控制器的一般工作过程如下:3.1对精确值的模糊化处理将测温电路测得的精确温度在各自的变化

26、区间上分为几个档次,使每档对应一个模糊集。我们设定冷藏室温升范围在6+6之间变化,将它分为8档,并和模糊变量负大、负中、负小、负零、正零、正小、正中、正大一一对应,:“正大”(PL)多数取+6附近;“正中”(PM)多数取+4附近;“正小”(PS)多数取+2附近;“正零”(P0)多数取比零稍大一点附近;“负零”(N0)多数取比零稍小一点附近;“负小”(NS)多数取2附近;“负中”(NM)多数取4附近;“负大”(NL)多数取6附近;量化因子K1求测温电路量化因子K2冷藏室、冷冻室量化因子K3控制表SSR1、SSR2SyeUU1图3.1 冰箱模糊控制框图表3.3 偏差的赋值表上述定义中只涉及在6,6

27、区间上连续取值的量的整数值,对于非整数值,可采用就近归整的原则将其整数化,如:1.21,5.86, 4.24,另外,我们定义了4对应负中,但是3、2、5、6也都可称为负中的属性,只是相对负4来说,属于负中的成份差一点,即有着不同的隶属度,定义出8个模糊变量,分别对应区间6,6上各整数值的隶属度,就可以得到一个模糊子集。如表3.4所示。 表3.4 偏差的赋值表同理,就得到偏差变化率所对应的模糊子集如表4所示。模糊处理的结果即模糊控制器的输出量u也采用NL、NM、NS、0、PS、PM、PL来描述,就可得到输出u在6,6区间上变化的赋值表如表3.5所示。表3.5 输出量u的赋值表3.2模糊推理规则的

28、归纳根据和的模糊集和输出u的模糊集,就可对冰箱的使用经验进行模糊推理规则的归纳。对于双输入、单输出的控制系统一般可用语句“IF A AND B THEN C”来描述,冰箱温度控制系统利用上述语句形式将上述3个表综合起来,把实际控制推理规则归纳整理构成系统的控制规则表如表6所示。表3.6 推理语言规则表3.3模糊量向精确量的转化模糊量向精确量转换通常有2种方法:选择隶属度最大的原则和加权平均判决原则。选择隶属度最大的原则实现模糊量到精确值的转化,特点是计算简单,适合于计算机的实现处理,但转换结果所含的信息量少,因为放弃了其余隶属度较低的各点情况。表3.7 模糊控制表设偏差e=PM,偏差变化率=P

29、S,根据推理语言规则表查得输出u=NM,再查u的赋值表得NMU=(0.1/6)+(0.8/5)+(1.0/4)+(0.8/3)+(0.1/2)由此选择从属度最大的原则转换,得到结果为=4(为最大隶属度)。利用加权平均原则转换时转换结果为:=4我们将上述所有控制规则合成一起,经大量计算构成模糊控制表见表3.7。4.系统软件设计 本系统的控制程序采用模块化的设计思想,主程序主要完成系统设置和初始化的任务,并为其他子程序提供相应的入口。该系统有两种工作模式,一是正常的温度控制模式,第二是PID 参数整定状态,系统启动后,系统按照操默认设定的温度值,并根据实际设置好的(T,KP,Ti,Td )参数进行

30、温度调节,仪表工作在温度控制模式下;当有按键按下时,产生键盘中断,CPU 响应中断,进入键盘中断服务子程序,确认键盘的位置。4.1主程序 主程序完成系统的初始化后, 交替进行温度显示和键盘检测, 当有键按下时, 检测在敲定的标志寄存器的对应位置相应标志。主程序框图如图4-1 所示。开始LED显示子程序初始化设置工作状态送各有关常数开中断,执行检测程序送中断向量中断否关中断,查中断源,转中断子程序过压欠压处理程序温度测定处理程序模糊控制算法程序开门时间超长处理程序霜厚大于3mm处理程序键盘检测分析程序中断处理结束,返回YN图4.1主程序框图4.2中断服务程序 单片机控制片内定时器每隔一定的温度采

31、样时间( 可设为1 09 ) 产生一次定时器中断, 定时器中断服务程序主要对温度传感器进行一次巡检, 并对测量数据进行处理后得到冷藏室、 冷冻室温度。所测得的温度分别与设定的温度上下限进行比较, 以判断是否应打开或停止压缩机, 从而实现对温度的自动控制, 随后,再对键盘功能标志进行测试, 以做出相应的处理。在对压缩机进行启动控制时, 要注意压缩机从停止状态转人启动状态时, 需要一定的延时保护时间。定时器中断服务程序流程图如图4-2 所示。图4.2定时器中断服务流程图5 技术总结通过此项设计的分析可以得到此下结论:本设计设计到温度传感器以及A/D转换芯片的选择以及EDA的总体设计,最后输出。由于

32、一般的传感器输出大部分是模拟信号,所以要用到A/D传感器,转换后的数字信号CLK直接送到EDA程序中如表4.1所示,通过程序中的几个模块处理送到LED显示出来。本设计电路性能为:外加电压约为220V,电流5A; AD522是高性能、低功耗的A/D转换器电路。它将高精度、通用性和真正的低成本很好的结合在一次,它有低于10V的自动校零功能,零漂小于1V/,低于10PA的输入电流,极性转换误差小于一个字。表5.1数字信号表6结束语课程设计到此基本完成了,本次设计历时并不很长,但是通过自己在图书馆查阅资料,在网上搜索相关信息,体验了课程设计这一学习过程,感到很欣慰。通过本次设计,能够更多的掌握传感器的

33、知识以及EDA设计的基本思路与原理。通过本次设计也将以前学习的一些知识都联系起来,熟悉了所学知识,提高了自己的学习能力,为以后工作奠定了一定的理论基础。同时此次设计也遇到了不少的困难,由于前面的部分相关课程学习得不够扎实,导致设计之初困难重重,但是有了导师刘江海老师的指导,通过多次点拨,终于突破了困难,这使得后续任务得以进行,后面遇到的一些问题,刘老师都给予了讲解,在此感谢刘老师的指导。 EDA课程设计的过程是一个自主学习的过程,通过自己查阅资料,将所学知识结合起来,通过老师和同学的帮助,本次设计的过程也有顺利也有坎坷,但本次设计重在对EDA课程学习的考核,是一次很有意义的学习。7 参考文献【

34、1】电气与电子信息工程学院.单片机实验指导书【2】王小军. VHDL使用教程.北京:清华大学出版社,1996【3】肖冰,郭丽,安德宁. 数字电路与逻辑设计实验技术. 北京:北京邮电大学出版社,2000【4】 王振宇,周孟然等.数字逻辑电路M.合肥工业大学出版社,2005.12【5】 康华光.电子技术基础 模拟部分(第四版)M.高等教育出版社, 2004.【6】 徐爱钧.智能化测量控制仪表原理与设计(第二版)M.北京航空航天大学出版社,2004.9.【7】 周荷琴,吴秀清.微型计算机原理与接口技术M.中国科技大学出版社,2004.12【8】 邱关源.电路M.高等教育出版社,2005.【9】 王大海.新型温湿度自动控制系统的设计与应用J.电子工程师,2002.【10】 蒋敏兰,胡生清,幸国全.LM35温度传感器的非线性补偿及应用J.传感器技术 200124

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号