乐曲硬件演奏电路的VHDL设计报告.docx

上传人:sccc 文档编号:4890000 上传时间:2023-05-21 格式:DOCX 页数:5 大小:807.45KB
返回 下载 相关 举报
乐曲硬件演奏电路的VHDL设计报告.docx_第1页
第1页 / 共5页
乐曲硬件演奏电路的VHDL设计报告.docx_第2页
第2页 / 共5页
乐曲硬件演奏电路的VHDL设计报告.docx_第3页
第3页 / 共5页
乐曲硬件演奏电路的VHDL设计报告.docx_第4页
第4页 / 共5页
乐曲硬件演奏电路的VHDL设计报告.docx_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《乐曲硬件演奏电路的VHDL设计报告.docx》由会员分享,可在线阅读,更多相关《乐曲硬件演奏电路的VHDL设计报告.docx(5页珍藏版)》请在三一办公上搜索。

1、一、设计题目:乐曲硬件演奏电路的VHDL设计二、设计目标:了解一般乐曲演奏电路设计设计方法,学习VHDL语言,熟悉EDA设计软件QuartusII和MAX+plus,加强独立完成电子设计的能力。 (1)能够播放“梁祝”乐曲。(2)能够通过LED显示音阶。(3)(选作)具有“播放/停止”功能,并在此基础上实现“按键演奏”的电子琴功能。主芯片型号为FLEX10K10LC84-4三、实验电路的工作原理:(演奏电路逻辑图) 组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能够连续演奏所需的两个基本要素,设计演奏电路的关键就是获得这两个要素所对应的数值以及通过纯硬件的手段来利用这些数值实现所希望乐曲的

2、演奏效果。演奏电路逻辑图有三部分:音乐节拍和音调发生器、简谱码对应的分频预置数查表电路、数控分频与演奏发生器。演奏电路逻辑图:四、设计内容:1.完成程序的编辑工作。2.将音乐数据制作成LMP_ROM文件.3.将程序加载到MAX+plus中进行编译、仿真,并保存仿真结果。4.到实验室进行下载验证。引脚进行锁定,然后下载到实验芯片中观察实验结果。五、仿真结果:1.音乐节拍和音调发生器(NoteTabs.VHD)notetabs模块中设置了一个8位二进制计数器(计数最大值138),作为音符数据ROM的地址发生器。这个计数器的计数频率选为4Hz,即每一个计数值的停留时间为0.25秒,恰为当全音符设为1

3、秒时,四四拍的4分音符持续时间。随着notetabs模块中的计数器按4Hz的时钟速率作为加法计数时,即随地址值递增时,音符数据ROM中的音符数据将从ROM中通过ToneIndex3.0端口输向ToneTaba模块,“梁祝”乐曲就开始连续自然的演奏起来了。Notetabs模块仿真图:2.简谱码对应的分频预置数查表电路(ToneTaba.VHD)音符的持续时间需根据乐曲的速度及每个音符的节拍数来确定,tonetaba模块的功能首先是为speakera提供决定所发音符的预置数,而此数在speakera输入口停留的时间即为此音符的节拍值。Tonetaba模块是乐曲简码对应的分频预置数查表电路。其中设置

4、了“梁祝”乐曲全部音符所对应的分频预置数,共13个,每一音符的停留时间由音乐节拍和音调发生器模块NoteTabs的clk的输入频率决定。这13个值的输出由对应于ToneTaba的4位输入值Index3.0确定,而Index3.0最多有16种可选值。输向ToneTaba中Index3.0的值ToneIndex3.0的输出值与持续时间有模块notetabs决定。Tonetaba仿真图:4.数控分频与演奏发生器(Speakera.VHD)音符的频率可由次模块获得,这是一个数控分频器,由其clk端输入一个具有较高频率的信号,通过speakera分频后由spkout输出,由于直接从数控分频器中出来的输出

5、信号是脉冲较窄的脉冲信号,为了有助于驱动扬声器,需另加一个D触发器以均衡其占空比,但这时的频率是原来的1/2。Speakera对clk输入信号的分频的预置数Tone10.0与spkout的输出频率就有了对应关系。Sperkera模块仿真图:5.梁祝music.vhd(音乐数据):将数据保存为.mif格式然后制作成LMP_ROM文件。六、实验结果:(程序下载验证是否通过) 试验成功!将设计出的演奏电路的程序经过编译(Compiler)后,选择FLEX10K系列中EPF10K10LC84-4作为目标器件(Assign/Device),并进行管脚锁定(Floorplan Editor)。器件编程(P

6、rogrammer),将编译生成的*.sof文件下载到目标芯片。观察到数码管5显示出演奏时的音乐简谱,发光二极管D5指示音调高低,同时实验箱自带蜂鸣器(Speaker)奏出“梁祝”那凄美动人的旋律,实验成功。七、总结:本次乐曲硬件演奏电路的VHDL设计主要采用数控分频原理来实现,在本次EDA课程设计中,我与其他同学相互交流,相互学习,提高了用VHDL语言编程的技能及进一步加强了MAX+plus对这种EDA流行软件的应用,通过这次设计,我们温故了已学的理论知识和实践操作技能,同时也学习了更多的新的知识和技能,为以后进一步的学习和工作打下了坚实的基础。本设计得到的电路可以作为一个乐曲演奏模块,如果要演奏出另外一首曲子,只需将该曲子出现的简谱分频预置数写入ToneTaba.VHD,再将该曲子的节拍表制作成ROM文件输入到NoteTabs.VHD中,编译后,编程下载即可。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号