SSI组合逻辑电路设计实验报告.docx

上传人:小飞机 文档编号:4925116 上传时间:2023-05-23 格式:DOCX 页数:6 大小:107.32KB
返回 下载 相关 举报
SSI组合逻辑电路设计实验报告.docx_第1页
第1页 / 共6页
SSI组合逻辑电路设计实验报告.docx_第2页
第2页 / 共6页
SSI组合逻辑电路设计实验报告.docx_第3页
第3页 / 共6页
SSI组合逻辑电路设计实验报告.docx_第4页
第4页 / 共6页
SSI组合逻辑电路设计实验报告.docx_第5页
第5页 / 共6页
亲,该文档总共6页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《SSI组合逻辑电路设计实验报告.docx》由会员分享,可在线阅读,更多相关《SSI组合逻辑电路设计实验报告.docx(6页珍藏版)》请在三一办公上搜索。

1、实验名称: 院(系): 专业班级: 姓名: 学号: 时间: 地点: 实验成绩: 指导教师:华中科技大学电子线路设计、测试与实验实验报告SSI组合逻辑电路设计自动化学院自实1201班zjU2014.4.10南一楼东3062014年4月10日SSI组合逻辑电路设计一. 实验目的1. 掌握用SSI (小规模数字集成电路)实现简单组合逻辑电路的方法。2. 掌握简单数字电路的安装和调试技术。3. 进一步熟悉数字万用表、示波器等仪器的使用方法。4. 熟悉用Verilog HDL描述组合逻辑电路的方法,以及EDA仿真技术。二. 实验元器件及条件集成电路74HC00 1片;74LS04 1片计算机、MAX+P

2、LUSII 10.2集成开发环境、可编程器件实验板及专用的在系统编程电缆三. 预习要求1. 按设计步骤,根据所给器件设计实验内容的逻辑电路图。2. 在附录C中查出74LS00、74LS04的引脚排列图。四. 实验说明1. 组合逻辑电路的设计流程 实际的逻辑问题图4.1用SSI构成组合逻辑电路的设计过程2. 组合逻辑电路设计举例(1)首先进行逻辑抽象。(2)写出逻辑表达式。(3)变换逻辑表达式。(4)画出逻辑电路图。(5)仿真验证。(6)实验验证。插板在做完仿真之后,就可以根据设计的逻辑图选择相应的芯片进行插板,通过给不同 输入高低电平组合来测输出电平的高低,从而检测是否符合实验要求。五. 实验

3、内容求反加11.根据给定的器件,设计一个能对4个输入进行取反加一,先进行实验仿真,然后用插板实 现。真值表输入输出Data_in3Data_in2Data_in1Data_in0Data_out3Data_out2Data_out1Data_out000000000000111110010111000111101010011000101101101101010011110011000100010010111101001101011010111000100110100111110001011110001代码:module MyOpposAddl (datain3:0, dataout3:0);i

4、nput 3:0datain;output 3:0dataout;reg 3:0 dataout;always (datain) begincase(datain)4b0000: dataout = 4b0000;4b0001: dataout = 4b1111;4b0010: dataout = 4b1110;4b0011: dataout = 4b1101;4b0100: dataout = 4b1100;4b0101: dataout = 4b1011;4b0110: dataout = 4b1010;4b0111: dataout = 4b1001;4b1000: dataout =

5、4b1000;4b1001: dataout = 4b0111;4b1010: dataout = 4b0110;4b1011: dataout = 4b0101;4b1100: dataout = 4b0100;4b1101: dataout = 4b0011;4b1110: dataout = 4b0010;4b1111: dataout = 4b0001;endcaseendendmodule波形仿真结果:N=iin eVqItlg14. 9E20. 0 畦40. 0 ms60. 0 ms80. 0iiii14.9 jT5 nsit amA I-.3A i111IIIf111111111

6、11 w 2-.2A iIlli Illi i iL-.1 A i4-.uA iL _ 一铮5A 5A i11I11111IIIIIIII TA I11I111111111111111 11_/ 8-.1A l11I11111 3-.0A I11111111f1111111以上的波形可以看出插板实现四位取反加一的基本功能。对照真值表可知符合要求。2.2位二进制求反加一插板实现真值表输入输出Data_in1Data_in0Data_out1Data_out00000011110101101电路图:插板实现只需使用两块芯片5个逻辑门即可。2.附加实验:2线-4线译码器编程代码如下1 HwindJl

7、e (datain 2 :0 F datacjt 3 :0 j3 inpat 2 : O dstain;4 3:0 dataoat;5 reg 3:0 dataoat;-always (dataln) Icegin5 Hcase (datslTL103dO0O:dataoat=4toOlll;113t0Ol:dacaoat=4 LlOll;123t010:dacaoat=4 LllOl;13dacaoat=4LlllO;143tlO0:dacaoat=4 Lilli;15SblOl:dacaoat=4tollll;16SlDllO:dacaoat=4tollll;17SlDlll:dacaoa

8、t=4tollll;18endcase1920end|2122 enditiodule23仿真波形图如下:PE20. 0 mw140. 0 mw 160. 0 mw 180. 0 11T:=uti e14. 9S14.9T5 ns J_0日 ilatainA 0:叱.2A 0111111L.1 A 0L.oA 0C41 iataoutA T.口归顷13为CX炉灯顷口如CX乂_2 5-.3A 0111 111 11-_/ 6-.ZA 111 111111 11 11_/ T- 1 A 111 111 I_z 81 . . uA 111111 1从上面的波形图我们可以看出:与真值表一致。仿真下载完成。六. 实验中遇到的问题及处理方法1. 仿真下载较简单,问题不多,只是写代码时的文件名有误,不过很快就改正过来了, 后面仿真下载也比较顺利。2. 插板时二极管一直不亮,于是我就用万用表一个个节点打了过去测电压,结果发现 有一个二极管的两个管脚都是5V左右,原来是二极管短路了,属于操作失误,还 好电路较简单,这也提醒了我实验操作时一定要小心仔细。七. 实验总结通过本实验,仿真下载与插板实践,很好的将软件学习了一遍,也对相关硬件有了 一定的了解,也对书上的知识点有了更深更具体的认识,对于后面的实验和今后的学习 肯定会打下一个比较好的基础。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号