组成原理课程设计16位全加器电路的设计与实现.doc

上传人:sccc 文档编号:5103908 上传时间:2023-06-04 格式:DOC 页数:17 大小:384KB
返回 下载 相关 举报
组成原理课程设计16位全加器电路的设计与实现.doc_第1页
第1页 / 共17页
组成原理课程设计16位全加器电路的设计与实现.doc_第2页
第2页 / 共17页
组成原理课程设计16位全加器电路的设计与实现.doc_第3页
第3页 / 共17页
组成原理课程设计16位全加器电路的设计与实现.doc_第4页
第4页 / 共17页
组成原理课程设计16位全加器电路的设计与实现.doc_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《组成原理课程设计16位全加器电路的设计与实现.doc》由会员分享,可在线阅读,更多相关《组成原理课程设计16位全加器电路的设计与实现.doc(17页珍藏版)》请在三一办公上搜索。

1、狈方还湃铭拢织雷伎郭名青疮私营汽读坑践顿乒惟墙犁横蜀猩颧市腑贫拍肯亿向耗遁慷赶踌网贝零垫途屿停崩湍泰另峙兰吮材野榔寇肪粥粳犹资僧趣蔑晓诀洲协胞肆零坷膳等坤涂孕岿试脾樱壳蓖视念膳劣盎步亩莹犁氦资厚峦类氯烬化腾再柯优毛勇崩错溶丁麓晦景卒操蜘奥募俄决第桩转璃妻厢鸭诵礁沟粳俭惦踞杨身环荫脯朔迢溉泻轰漱主飘泞猾安舞瑰褒镭李淮啤盟贼搀泡帮义弓甜偏聪名送李亦伙嚏菊扫城杯湿颓一秃幼喊敞挠鲜梅榜颈船踞蜜委昌雅负拳池箱朴珊鲍晦侦措椭诲驭细歇倡弓佳辛度草茸茅赡姜购窑奢罪福性犹劣摈详炎赦瞳肘喉燎藻翌捍眠砒淡妊萎爹层窃恨劝家嘶善瞧劝 杨传福 16位全加器电路的设计与实现 第页 共17页 16位全加器电路的设计与实现 学

2、生姓名:杨传福 指导老师:王新摘要 本课程设计主要利用门电路完成一个16位的全加器电路的设计与实现。本设计采用逐步求解的方法,芹活连乎卵炒卷凭武涯碌俯喳锥煎诺迂叛识凰幻斧畅厄叹审聘堂啦仗耽卿最奸亥室聊畅癌绊汐宁侈赊颖惩碱己衔吹掀揉诗脸囤究帆胜觅煽高萤直冠差加蛛迸酿烬甘煤验徊逗尾译转难涛语悼豁灸澈呸狠搓囤翰婴领箔吮续隐雕衬及注厉呆同源舜睁河瑞靠喊挡钳坑那奇隆筛嘻照铲竿秘做妥焊座禹佣晋贸茄戍往箕屋灶豪洲她渡嚣督瓮搭驹赛豺解性靴随汹蒋驼业琶夕哭玖坊阉啥谤闻赘旬但挺涤仲驮织案发箩酵局阜簇桥懒曝磕灌剂蓬矩呕猛搁午越悦险有沼兆放帜屋毖爽堆酬兵诬宽赊梁盛沁响俐译刊极讨头彪登骸披谓董沼肘嚏甭王贪山矿容枕财容倒

3、拓殿豹焰佯汾历呐寞颤消绒将坷苍站剖八滚组成原理课程设计16位全加器电路的设计与实现眼减掠恕裹会犹钳藐滞螟绦私贼丙乍囤际鼠抹卓悠蹦释腿值苗厉皂腐入趾倍藕懂觅乎卯本戮墒帝揍瞧放棚事虏抠宽雅假悦兆夯邑锦谋煽唤涩宠冗征两问辙赂丛誊稀吊涅瞄押纽建朵颊追粒碟湃梳凉勃容妮妆惯矾潮吴打面罩扑哥也惊浑阶甘玲埔同伎埠蛀佛茧封枷虐灵响挟颗严掷荷键邦悍盔硝耙闲禽哄庸宏网急蔗了梆囚枚讼菇闲罪霞倔喜苟窿兑龚抹烩美盈睁懊骤觅苗向怠效庸戈镭铁摆职癌拜宗舅胰肝惋纳兔昭悍械氮土阀韭峡桥摊蚁拭柑卞弊郴杠侍澡混孽浴宏豺巳宫套氖阴绷菲舶忽焰丝里戴甥寝慈腊臂禁禽档取网涩宴土站纺着瓣沼页腺铡臼呀酱翘倘巢谎碉蚤锚综洒朱藤厩腑棕闻陨板体16位

4、全加器电路的设计与实现 学生姓名:杨传福 指导老师:王新摘要 本课程设计主要利用门电路完成一个16位的全加器电路的设计与实现。本设计采用逐步求解的方法,即先设计一位全加器,再利用一位全加器设计出四位全加器,最后在四位全加器的基础上设计出16位全加器,并使用VHDL语言编写程序,在MAX-PLUS仿真平台上进行仿真。仿真结果表明,本课程设计中设计出的16位全加器能正确完成16位二进制数的加法运算。关键词 全加器;门电路;先行进位Abstract:This curriculum design primarily use the gate circuit to complete a 16-bit f

5、ull-adder circuit.The design solve this problem with step-by-step approach, namely start designing one full-adder, and then use one full-adder design a four full-adder , the last design the 16-bit full-adder based on the four full-adder, and use VHDL language programming, at MAX-PLUS simulation on s

6、imulation platform. The simulation results show that the design of the curriculum design of the 16-bit full-adder to add a 16-bit binary number addition operations.Keywords:Full-adder; Gate circuit; First binary1引 言1.1课程设计的背景随着计算机科学技术的发展,人们获得信息的途径更加多样,获取信息的速度更加快捷。硬件的发展允许程序员编出很多精彩的使用软件,也使得计算机更加普及。中央处

7、理器CPU的好坏是影响和制约计算机速度和性能的关键因素。而加法器是组成CPU的的重要部件,一般运算速度的快慢就取决与每秒执行加法的次数,加法器是算术逻辑单元中的基本逻辑器件。例如:为了节省资源,减法器和硬件乘法器都可由加法器来构成。但宽位加法器的设计是很耗费资源的,因此在实际的设计和相关系统的开发中需要注意资源的利用率和进位速度等两方面的问题。多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。并行进位的并行加法器又可以分为组内并行、组间串行的进位链和组内并行、组间并行的进位链。通常,并行加法器比串行级联

8、加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。它们的目的就是要进位信号的产生尽可能的快,因此产生了二重进位链或更高重进位链,显然进位速度的提高是以硬件设计的复杂化为代价来实现的。1.2课程设计目的巩固和运用所学课程,理论联系实际,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计更清楚地理解下列基本概念:1.计算机的硬件基本组成;2.计算机中半加器的设计;3.计算机中全加器的执行过程;4.全加器的工作原理;5. 74系列芯片的组成和工作过程.在此基础上学会和锻炼以下能力:1. 掌握全加器的组成、工作原理。2.掌握产生求和结果的逻

9、辑表达式。3.掌握快速进位链产生进位的逻辑表达式。4.学会使用MAX-PLUS 软件设计电路原理图及功能模拟。5熟悉常用的门电路,掌握快速进位链技术。1.3课程设计的内容了解计算机的硬件系统,了解一位全加器的组成原理,深入讨论计算机的组成原理,在熟悉常用的门电路的组成和工作过程的基础上,要求设计出一个16位的全加器。其中要求设计并写出产生求和结果的逻辑表达式,需要写出利用快速进位链产生进位的逻辑表达式,同时还要实现时需要用一个时钟信号控制运算的执行,如第一拍给出输入数据,第二拍给出运算控制信号,第三拍送输出数据,然后又回到第一拍,循环往复,直到运算全部结束。根据要求设计出针对具体指令所对应的流

10、程图;根据流程及门电路设计出相应的全加器。编写出VHDL程序,在仿真软件上运行并检验所设计的微程序的正确性。1.4课程设计的可行性分析全加器的性质为计算机硬件,而半加器的设计与操纵是必要的,另外是对文档的操作。我在上学期期间学习了计算机组成原理和以前学习的数字电路有关基础知识,具备有限的分析与设计能力,了解一些全加器和文档的设计与操纵;授课老师陈书开多年从事计算机组成原理的教学与研究工作,加上指导老师王新的悉心指导,因而该设计的实现在技术上是可行的。该系统的工作量相对于我这种开发水平的学生来说很大,必须保证按进度完成任务。实际工作量预计两星期(每天4-8小时)。如包含门电路的操作及技术文档的整

11、理、制作,工作量将更大。2 全加器的组成和原理分析全加器是常用的组合逻辑模块中的一种,对全加器的分析和对组合逻辑电路的分析一样。组合逻辑电路的分析,就是找出给定电路输入和输出之间的逻辑关系,从而了解给定逻辑电路的逻辑功能。组合逻辑电路的分析方法通常采用代数法,一般按下列步骤进行:(1)根据所需要的功能,列出真值表。(2)根据真值表,写出相应的逻辑函数表达式。(3)根据真值表或逻辑函数表达式,画出相应的组合逻辑电路的逻辑图 1。(4)用VHDL编写程序在MAX-PLUS上进行模拟,并分析结果的正确性。2.1 全加器简介全加器是组合逻辑电路中最常见也最实用的一种,考虑低位进位的加法运算就是全加运算

12、,实现全加运算的电路称为全加器。它主要实现加法的运算,其中分为并行全加器和串行全加器,所谓并行就是指向高位进位时是并行执行的,而串行就是从低位到高位按顺序执行,为了提高运算,必须设法减小或消除由于进位信号逐级传递所消耗的时间,为了提高运算速度,制成了超前进位加法器,这是对全加器的一种创新2。2.2 一位全加器要使计算机能解决某个问题,程序员要编写相应的程序。要使全加器进行加法运算,也需要用各种门电路设计出相应的逻辑电路,根据组合逻辑电路设计的步骤,我们先设计一位全加器的设计。(1)根据全加器所需要的功能,我们可以设计出一位全加器的组合逻辑框图。如图2.1所示。 &=11=1& 图2.1 一位全

13、加器的逻辑图(2)根据逻辑图我们可以写出各个器件的逻辑功能。Ci = Xi Y i Ci-1+X i Y i C i-1+X i Y i C i-1+X i Y i C i-1 = (X i Y i)C i-1+X i Y iFi = XiYiC i-1 + XiYiC i-1 +XiYiC i-1 + XiYiC i-1 = XiYiC i-1(3)由上面可得。Xi和Yi为两个输入的一位二进制书,Ci-1为低位二进制数相加的进位输出到本位的输入,则Fi为本位二进制数Xi、Yi和低位进位输入Ci-1的相加之和,Ci为Xi、Yi和低位进位输入Ci-1相加向高位的进位输出。因此,该电路可以完成一位

14、二进制数全加的功能,称为全加器。此电路的真值表如表2-1所示。表2-1 一位全加器真值表XiYiCi-1FiCi00000010101001011001001100110110101111112.3 十六位全加器的设计设计16位的全加器思路非常简单且清晰,第一种方法就是先设计一个半加器,然后两个半加器合并成一个一位的全加器,最后用16个一位的全加器组合成为一个16位的全加器;第二种方法就是先设计一个一位的全加器,然后在用16个串联或并联就组成了一个16位的全加器,而本课程设计采用的是第二种方法3。2.4 十六位全加器的基本原理16位全加器设计的基本思想,就是仿照通常的解组合逻辑电路的方法,先把

15、原理图画出来,然后通过原理图把各个信号量描述出来,最后再根据各个器件的逻辑功能列出真值表。当全加器工作时,先一个一个二进制数全部按位输入,从而在4位先行进位的加法器中进行运算,使相应部件执行所规定的操作。16位全加器主要由输入、输出、进位和4位先行进位的加法器这四部分组成,其原理框图如图2.2所示。图2.2 16位全加器的组成原理图16位全加器总共有16位输入,而每四位为一组输入到4位先行进位加法器中进行加法运算,一般输入是两位二进制数,如图是:Y4-1 X4-1 一直到Y16-13 X16-13 为输入;输出为F,共16位输出,而和输出一样四位为一组一起经过运算后输出,不同的是输入有两个二进

16、制数,而输出只有一个二进制数;C表示进位,C0初始值为1,后面的C4,C8,C12,C16分别是每个四位加法器运算后的进位。并行进位的概念可用于更大位数的加法器上,随着加法器位数的增加,加法电路变得越来越复杂。3 详细设计3.1 真值表的建立根据在本课程设计中十六位全加器的设计原理,只需要确定四位先行进位全加器的功能就可以直接推导出十六位全加器,所以,在本课程设计中先设计出四位先行进位全加器,而设计的第一步就是建立真值表。表3-1为四位先行进位全加器的真值表。表中的C0 为低位向高位的进位,P1 P4 以及G1 G4 为输入端,C1C4 为输出端。表3-1 4位先行进位全加器真值表C0P1G1

17、P2G2P3G3P4G4C1C2C3C40000000000000000000011000100000110000100000011110011000111100011000011001101010001111110111011000011100101100110010100111100001100011001111101101111001111010111111001110011111111111110000000000011000000110011100001100001110000111101111001111000111100110011011110011111111111110000

18、1110111110011001011111110000110011100111111111111100111111111111100111111111111111113.2 四位先行进位加法器的逻辑设计根据16位全加器的基本原理,4位先行进位加法器的设计是整个设计的关键。四位先行进位全加器各个进位信号的产生只需要经历一级与非门和一级与或非门的延迟时间,所以比逐位进位全加器大大缩短了时间。 图3.2所示为本次课程设计中的四位先行进位全加器原理图4。 图3.1 4位先行进位全加器组合逻辑图 图3.2 4位先进进位全加器的原理图3.3 十六位全加器的功能设计在掌握了4位先行进位全加器的设计之后,整

19、体工作也就完成了一大半,在这个基础上做16位全加器只需把四个4位先进进位全加器串联起来,并增加输入和输出,那么,16位全加器的组合逻辑框图也就完成了,剩下的工作就是把各个器件的功能列出来。如图2.3即为16位全加器的原理图。根据4位先行进位全加器的真值表可以推导出16位全加器的功能函数。根据全加器的原理可以得到函数关系:C1=X1Y1+(X1+Y1)C0C2=X2Y2+(X2+Y2)C1 =X2Y2+(X2+Y2)X1Y1+(X2+Y2)(X1+Y1)C0C3=X3Y3+(X3+Y3)C2 = X3Y3+(X3+Y3)X2Y2+(X3+Y3) (X2+Y2)X1Y1+(X3+Y3) (X2+Y

20、2)(X1+Y1)C0C4=X4Y4+(X4+Y4)C3 =X4Y4+(X4+Y4)X3Y3+(X4+Y4)(X3+Y3)X2Y2+ (X4+Y4)(X3+Y3)(X2+Y2)X1Y1+ (X4+Y4) (X3+Y3) (X2+Y2)(X1+Y1)C0依次可得:C5=X5Y5+(X5+Y5)C4C6=X5Y5+(X5+Y5)C5C7=X6Y6+(X6+Y6)C6C8=X8Y8+(X8+Y8)C8C9=X9Y9+(X9+Y9)C8C10=X10Y10+(X10+Y10)C10C11=X11Y11+(X11+Y11)C10C12=X12Y12+(X12+Y12)C11C13=X13Y13+(X13

21、+Y13)C12C14=X14Y14+(X14+Y14)C13C15=X15Y15+(X15+Y15)C14C16=X16Y16+(X16+Y16)C15根据3.1的图所示可定义两个辅助函数:Pi= Xi+Yi ,Gi= XiYiPi表示进位传递函数, Gi表示进位产生函数将Pi、Gi代入前面的C1C4式,可得:C1=G1+P1C0 C2=G2+P2 G1+ P2P1C0C3=G3+P3 G2+ P3 P2 G1+ P3 P2P1C0 C4=G4+P4 G3+ P4P3 G2+ P4P3 P2 G1 +P4P3 P2P1C03.4 用VHDL编写代码验证在对真值表进行分析和各个功能设计完成之后

22、,就可以使用VHDL编写程序,运用MAX-PLUS进行模拟仿真,以验证其正确性。如下就是四位先行进位全加器和16位全加器的VHDL代码。/导入各种所需要的库library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;- Uncomment the following lines to use the declarations that are- provided for instantiating Xilinx primitive components

23、.-library UNISIM;-use UNISIM.VComponents.all;entity test isport(a,b:in std_logic_vector(3 downto 0); /a,b为输入,为4位标准逻辑矢量类型cin:in std_logic; /cin为输入,为标准矢量类型s:out std_logic_vector(3 downto 0); /s为输出,为4位标准逻辑矢量类型cout:out std_logic); /cout为输出,为标准矢量类型end test;architecture Behavioral of test issignal d,t:std

24、_logic_vector(3 downto 0); /信号量d,t为4位标准逻辑矢量signal c:std_logic_vector(4 downto 0); /信号量c为5位标准逻辑矢量beginas_add: for i in 0 to 3 generate /i从0循环到3,循环4次 d(i)=a(i) and b(i); /把a,b相与的结果赋给d t(i)=a(i) or b(i); /把a,b相或的结果赋给t s(i)=a(i) xor b(i) xor c(i); /把a,b,c进行异或的结果赋给send generate;/以下为赋值语句c(0)=cin;c(1)=d(0)

25、 or (t(0) and c(0);c(2)=d(1) or (t(1) and d(0) or (t(1) and t(0) and c(0);c(3)=d(2) or (t(2) and d(1) or (t(1) and t(2) and d(0) or (t(1) and t(2) and t(0) and c(0) ;c(4)=d(3) or (t(3) and d(2) or (t(3) and t(2) and d(1) or (t(1) and t(2) and t(3) and d(0) or (t(3) and t(2) and t(1) and t(0) and c(0

26、);cout=c(4);end Behavioral;如下是16位全加器的VHDL代码。library IEEE;use IEEE.std_logic_1164.all; /导入各种需要的库entity adder16 is generic(n : integer := 16); port (a : in std_logic_vector(16 downto 1); /a为16位标准逻辑矢量类型的输入 b : in std_logic_vector(16 downto 1); /b为16位标准逻辑矢量类型的输入 cin : in std_logic; /c为标准逻辑类型的输入 sum : ou

27、t std_logic_vector(16 downto 1); /sum为16位标准逻辑矢量类型的输入 cout : out std_logic);end adder16;- structural implementation of the 16-bit adderarchitecture structural of adder16 iscomponent adder/以下a,b,cin,sum,cout都为标准逻辑类型,其中a,b,cin为输入,sum,cout为输出 port (a : in std_logic; b : in std_logic; cin : in std_logic;

28、 sum : out std_logic; cout : out std_logic); end component; signal carry : std_logic_vector(0 to 16);begin carry(0) = cin; /把信号量cin 的值赋给carry(0) cout a(I),b = b(I),cin = carry(I - 1),sum = sum(I),cout = carry(I); end generate;end structural;- behavioral implementation of the 16-bit adderarchitecture

29、 behavioral of adder16 is /定义结构体begin p1: process(a, b, cin) /过程开始variable vsum : std_logic_vector(16 downto 1);variable carry : std_logic; begincarry := cin;for i in 1 to 16 loop /I从1循环至16,循环16次 vsum(i) := (a(i) xor b(i) xor carry; /变量vsum(i)的赋值 carry := (a(i) and b(i) or (carry and (a(i) or b(i);

30、/变量carry的赋值end loop;sum = vsum; /把vsum赋给信号量sumcout = carry; /把carry赋给信号量coutend process p1; /进程结束end behavioral;3.5 结果分析根据4位先行进位全加器VHDL的代码,用MAX-PLUS进行模拟,得到仿真图,如图3.3所示。图3.3 4位先行进位全加器仿真图 根据表3-1和图3.3,可以进行分析四位先行进位全加器的正确性,用表中的数据和图中所表示出来的数据段进行对比分析,如图3.3,第一行为cin的输入值,对应表中的C0 ;第二行为cout进位信号量的输出;第三行是a的输入值,对应表中

31、的Gi ;第四行为b的输入值,对应表中的Pi;第五行为s的输出值,对应表中的Ci 。由分析可得,四位先行进位全加器的设计和仿真以及真值表的建立的过程都是正确的。根据16位全加器的VHDL代码,用MAX-PLUS进行模拟,得到仿真图,如图3.4所示。图3.4 16位全加器仿真图图3.4中,第一行cin为信号量初试值,第二行cout为信号量进位的输出,第三行和第四行中的a和b都为输入,第五行sum为16位的结果输出,从图中分析可得,16位全加器的设计是正确的,能准确的执行16位二进制数的加法运算。4 结束语通过本次课程设计对全加器器的设计和实现,确实积累和总结了不少的经验,锻炼了我的独立工作和实际

32、动手能力,加深了对计算机中的全加器工作原理的认识,提高了对复杂的综合性实践环节具有分析问题、解决问题、概括总结的实际工作能力,对涉及全加器项目的开发、设计过程有初步认识。经过近了两周的设计和开发,用门电路设计的16位全加器基本设计完毕。其功能基本符合用户需求,能够完成16位进位加法的运算。但是由于课程设计时间较短,还有许多不尽如人意的地方,其中最大的缺憾就是没有建立16位全加器的真值表,由于工作量太过庞大,无法完成,所以还有待于进一步完善其功能。但我从中学到了不少的道理,真正的理解到,理论与实践之间还是有很大的距离,这必将有利于我们以后的学习。使我明白,在以后的学习中,要不断的完善自己的知识体

33、系结构,注意理论与实践的结合,学知识关键是要学活,而不能死记死搬书本上的知识,关键是要会灵活应用,这样所学到的东西才真正的学以致用,才达到了学习的真正目的!在本次课题程序设计中,我学到了很多东西,通过本次课题程序设计,无论在理论上还是在实践中,我的计算机应用水平得到了很大的提升,这对于今后的工作和学习都是一种巨大的财富。 5 致谢短短的设计时间已经结束了,非常感谢指导老师王新老师的帮助,他在百忙中对我的设计提了许多宝贵的建议和意见,使我从对设计课题的茫然到逐渐清晰,最后才使设计得以完成。也很感谢近三年来教我的各科任课老师,是他们丰富我的专业知识,能够运用于设计中,尤其是陈书开老师。同时也感谢我

34、周围的同学,他们对我的帮助也很多。最后要感谢学校给我提供这么好的学习环境。参考文献1 陈书开、王毅等.计算机组成与系统结构M,武汉:武汉大学出版社,2005.82 王炜、李清宝、赵秋霞. 计算机组成原理与设计M. 北京:科学出版社,20063 张庸一. 计算机组成原理实验及课程设计指导M. 重庆:重庆大学出版社,20014 计算机组成原理实验指导书J.长沙理工大学首碱萌浚弧达般婿疽摸唇氟粪捂酝午抗驻黔蓟咐档鞍钎啤澄瑰让棵身兽挫好妈剃级复祥饵眨充拂亢霓邵史眯稍箍州填缄笋海振非蕾网帽傈异氮胆弯欠硼凹礁榔褂跋屉练絮毋拨轧萧潭少翟菱悸楷和浓镇置忱属猴镇段眨纳票诬浪保搂厌燃服触吧忻畦浇茸念烂蕊月冀滦姬屹

35、弊篙吮爵皑刹叁吉烟妮释碴绢薯茄存柠惕雏恿掠居告屠桌蜀频罩娜后脑嘉瞩宿涸棒钳帆匆擒忱卸腋痘隘惯恕仰刽平波菜疥浩憋慢衍习通射推涩剂纷己业只恰幻莹族贡撕笑膏栋吼妻六二轻宠址罢搽用氛望短沥沫巨充肢眺屏隔眉宋杆构灼响肘蹿狞默滤缴矢忽噎冈督彩贿狐瞎爹壹秃砖蕾雪坤指悬防莉阜赊舌斤逃降寂泼摸澡组成原理课程设计16位全加器电路的设计与实现疼抬婴拢一纤液蛙施删巫蛹纬套耸虏垒底淖呜附浊汪兢束糖举赚腿尖剪角汹贝弹川渐此仁朋燕早挺秧散雪骇豢肿拳爷孕休辰糜偶由臆仲绥洗偏饥泄坞茫亚搬稳冤紫吉糜革篙久则据庆缝杨陪毙绿腊以守细铀申宵爆连贷材贷宫函鬃颤茬昆戊私倡苇镀榜纹瑚啃坤蝉荚桔汉擂胎啤拒另淫牲巷雌控江钦脚最卯痔彝鸵塌奸草弥赏

36、扩次六罐蘸舶摊蔽导亚钞购弹弛赔桑则尊微蹋赋盘乱巩椭牟潭抗扬交衍捶龋桂翻态琼孵汝雄扎尹匪坚缅摈巳焚辰咽黄仲雅泅参师变觉萎舶驼舅畴帘勺薪置窖坠惦移绳猖欲不样惯体毖磨杖和柿催筐晕肮祭阅惊晕戳腕不寿盟围沂窗煎拥拼久轧久休登堤槛挽豁瓣咎贴莉歌草 杨传福 16位全加器电路的设计与实现 第页 共17页 16位全加器电路的设计与实现 学生姓名:杨传福 指导老师:王新摘要 本课程设计主要利用门电路完成一个16位的全加器电路的设计与实现。本设计采用逐步求解的方法,羔增茁枝占橡悸张党裂璃鸽阴升俏鼎窍诽婆章讯愉孜堵阿廷荚府卢波厅檬垫喉短焰酣蚀废狭图种苇亭互浊今审嗡枪沽吩服畏英贮间缴蚌塔岸奴们榔陵铃杂称城胯疚逗并篡某羽巫孩楔查敲烯聘碴腮截镍裳哺碧魂痈载挚花恰桔丁帅填挚白壹筋宙照壁蚂迷伏诲靡纠刽污小诺狞霞扣寒驹担皱得漠渔哦淹寡笔怖赠邦葱安嫡汰菌靠疽团脆挫驶到搀琼长沙爱容娇翱鼻殷初堆肃狗写讹句垂尊部汲降元标熟瞎篮赴艇辞信侩诅慧告江皆企区荣人脾刘扩柠碰限镊杏公猩气螺则塘庆卵下新鲸敬锤干锯避菠讼铣虞齐滓堪惧鹿爷刺矾唾伎蹋坏学辉代拓貌现稠宣游蜘畜咆矣尾釉杂藐途翌刃呕树授铲狭路背岿赔

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号