六章节可编程逻辑电路.ppt

上传人:sccc 文档编号:5332548 上传时间:2023-06-27 格式:PPT 页数:64 大小:2.07MB
返回 下载 相关 举报
六章节可编程逻辑电路.ppt_第1页
第1页 / 共64页
六章节可编程逻辑电路.ppt_第2页
第2页 / 共64页
六章节可编程逻辑电路.ppt_第3页
第3页 / 共64页
六章节可编程逻辑电路.ppt_第4页
第4页 / 共64页
六章节可编程逻辑电路.ppt_第5页
第5页 / 共64页
点击查看更多>>
资源描述

《六章节可编程逻辑电路.ppt》由会员分享,可在线阅读,更多相关《六章节可编程逻辑电路.ppt(64页珍藏版)》请在三一办公上搜索。

1、第六章 可编程逻辑电路,“软件固化”,“以存代算”思想的体现,用软件设计硬件:硬件描述语言(HDL),硬件设计的进步:方便、灵活、可修改设计,用户可编程设计方便易于实现,主要内容:可编程逻辑器件及应用,目录,6-2 只读存储器(ROM),6-3 随即存储器(RAM),6-4 可编程逻辑器件该述(PAD),6-5 通用阵列逻辑GAL,6-1 导论,6-6 现场可编程门阵列 FPGA,最常用的可编程逻辑器件,可编程逻辑器件PLD(Programmable Logic Device)是一大类器件的总称,包括:,ROM(Read-Only Memory)只读存储器,PLA(Programmable L

2、ogic Array)可编程逻辑阵列,PAL(Programmable Array Logic)可编程阵列逻辑,GAL(General Array Logic)通用阵列逻辑,还有FPGA,CPLD等,6-1 导论,6-2 只读存储器(ROM),两大类存储器(Memory),ROM(Read-Only Memory),一旦信息写入,在机器上只读,RAM(Random-Access Memory),随机存储器,在运行状态可读可写,ROM功能,存放固定信息,程序,常数,指令,.,ROM的优点,信息非“易失”(Nonvolatile),简单,容量大,ROM分类,ROM,PROM,Mask PROM,E

3、PROM,UV EPROM,E2PROM,Flash E2PROM,Standard E2PROM,OTP PROM,多次编程,一次编程,工厂编程,用户编程,只读存储器ROM分类,ROM结构,4字4位,ROM的工作原理,1,导通,导通,存储矩阵是一个“或”逻辑阵列,简化的 ROM存储矩阵阵列图,有二极管,无二极管,存储体或阵列可以画为:,地址译码:与阵列,ROM字数很大时,译码系统很复杂。,字数较大,采用多级译码,字数很少,一级译码,PROM,与阵列固定、或阵列可编程,8x4 ROM,8个存储单元,每个单元存储4位二进制数码。,512 x 8PROM芯片结构,存储阵列,8-1Mux,ROM的应

4、用,1、位扩展,用4片328ROM扩展成3232ROM。,【例】,2、字扩展,用256片(512x8)ROM芯片扩展成 128Kx8 ROM,【例1】,用2片(32x8)ROM芯片扩展成 128x4 ROM,【例2】,3、用存储器实现组合逻辑函数,【例1】,试用ROM设计一个八段字符显示的译码器。,电路图,【例2】,试用ROM产生如下的一组多输出逻辑函数,解:将原式化为最小项之和的形式,点阵图,4、字符发生器,字符:0、1点阵组成,例如:字母E,7x5点阵7x5 ROM,RAM按着工作原理分为:,6-3 随机存储器,1.静态随机存储器SRAM,2.动态随机存储器DRAM,一、静态RAM的结构和

5、工作原理,(1)静态存储单元图中VT1VT4构成RS触发器,用以存储二进制信息。VT5、VT6为门控管,其状态由行线(Xi)决定。Xi=1时,VT5、VT6导通,Q和 的状态送至位线(Bj、)上。VT7、VT8是每列存储单元的门控管,其状态取决于列线Yj。Yj=1时,VT7、VT8导通,数据端D、和位线接通,并通过三态门G1G3进行读(输出)、写(输入)等操作。,二、RAM的存储单元,由G1 G3三个三态缓冲器构成读写控制电路。时,G2、G3截止,G1导通,数据D送至I/O线上,进行读出;时,G1截止,G2、G3导通,I/O线上的数据送入存储单元,进行写入。,一个三MOS管动态单元,信息存储在

6、VT2管的栅极电容Cg上,用Cg上的电压控制VT2的状态。读字线控制VT2管,写字线控制VT1管。VT4管是同列若干存储单元的写入时的预充管,图7-2-3 三管动态存储单元,在进行读操作时,首先使位 线上的电容CD预充到VDD,然后 选通读字线为高电平,则VT3管 导通。如果Cg上的电压超过了 VT2管的开启电压,VT2和VT3 均导通,CD将通过VT2和VT3放 电到低电平。如果Cg上没有电荷VT2管截止,CD没有放电通路,仍保持预充后的高电平。可见,在读位线上获得的电平和栅极 电容Cg上的电平是相反的。通 过读出放大器可将读位线上的 电平数据送至存储器的输出端。,图7-2-3 三管动态存储

7、单元,在进行写操作时,控制写字线为高电平,使VT1管导通。由存储器输入端送来的信号传到写位 线,通过VT1管控制Cg 上的电位,将信息存储到Cg上。因为Cg存在漏电,需要对Cg上的信息定时刷新。可周期性的读出Cg上信息到读位线上,经过反相器,再对存储单元进行写操作,即可完成刷新。,该电路中的预充电作用很重要,可以防止存储电容C1(或C2)上的电荷在数据读出时有损失,以免输出的高电平受破坏。,6-4 可编程逻辑器件概述,PROM的特点:,地址字,一一对应,所需存储容量大,信息表完全,PLA针对ROM这一特点,逻辑压缩,PROM与阵列固定、或阵列可编程,PLA 与、或阵列均可编程,集成化的PLA,

8、可控求反异或门,【例】,存储信息表,用16x8 ROM存储,ROM容量:与阵列8x16,或阵列 16x8,总容量256。,用PLA存储,将表达式逻辑压缩(化简),点阵图,PLA容量,与阵列:8x9或阵列:8x9,2N(输入数),M(输出数),P项数,总点数:144,存入信息表的PLA,PLA的特点,与阵列可编,ROM的译码器是完全译码器。N个输入必然有2N个字。PLA的地址译码器是非完全译码器。用户可编程形成P项(不是最小项)。,非完全寻址。,非对应关系。,ROM中,信息表示原封不动地装入存储矩阵中。PLA中,存入存储矩阵中的内容是经过化简、压缩的,它和信息表不是一一对应的关系。,PLA应用举

9、例,【例1】,由PLA和D触发器组成同时具有BCD和Gray输出的计数器,BCD码控制函数:,不要忘记画CP!,用PLA和D触发器组成的同步十进制计数器,如何通过PLA输出,而不是从触发器输出?,具有二十进制和循环码变换功能的十进制计数器,【例2】,由PLA和D触发器实现4位可变模数计数器,二进制计数器进位逻辑,判断逻辑,T=1,继续计数;T=0,复位到0000.,4位可变模数计数器,ROM PLA,或阵列可编程与、或阵列都可编程,灵活,节省码点,PLA PAL,工艺:简化工艺,降低成本(熔丝工艺,一次编程),结构:输入/输出公用,PAL是专用词,MMI公司的产品,结构,PLA 与、或阵列均可

10、编程,PAL 与阵列可编程、或阵列固定,PAL画图的方式:,只留出可编程的与阵列,固定的或阵列用与或门,互补输出封锁多余或项,D=P0+P1+P2+P7,几种PLA的原理图,带有反馈的阵列型PAL,几种PLA的原理图,16R6型PAL产品,16个变量,6个寄存器 32列对应16个变量,每一行是一个P项,每个P项最多32个因子相与,每个输出变量(FF的控制函数)有8个P项相或.与阵列规模:64*32,出厂时与阵列每一点都可编程,此图交叉点都是可编程的!,【例】,4位双向移位寄存器装入PAL16R6,双向移位寄存器功能表,双向移位寄存器功能表,实现双向移位功能的PAL,6-5 通用阵列逻辑GAL,

11、PAL GAL,基本逻辑结构与PAL相同,或阵列不可编程。,电擦除工艺,可以重复编程.修改设计方便,可以 重复试验。,GAL每个输出接有输出宏单元OLMC,通过对 OLMC编程,可以得到多种输出方式:寄存器输出,组合逻辑输出等。,典型GAL器件,GAL16V8,16个输入变量,其中右侧8个在OLMC控制下,可以配置为输入或输出.88=64行为可编程的与阵列,对应64个P项.不可编程的或阵列在OLMC内部.,OLMC结构:,4个数据选择器(MUX)是核心,在结构控制字的控制下,选择不同的输入,构成不同的输出结构.书上247页4种不同类型的PAL都统一到一个GAL内部,因此叫“通用阵列逻辑”.,6

12、-6 现场可编程门阵列FPGA,一、FPGA的基本结构,6.6.2 FPGA的编程,1.设计的输入,使用MAX+PLUSII软件支持的高级行为语言VHDL的语言结构,2编程数据的装载,首先应对管脚进行分配,例:用VHDL语言描述同步计数器。,有一个同步16进制计数器,功能表如下:,library ieee;IEEE库 use ieee.std_logic_1164.all;使用IEEE中的STD库use ieee.std_logic_unsigned.all;使用IEEE中的UNSIGNED库entity count16 is 计数器count16是一个实体port(clk,clr,en:in

13、 std_logic;输入clk,clr,en是逻辑变量 qa,qb,qc,qd:out std_logic);输出qa,qb,qc,qd是逻辑变量end;描述count16结束,architecture RTL of count16 is 构造一个16进制计数器,构造体名为RTL signal count_4:std_logic_vector(3 downto 0);四位计数器位数从3到0begin qa=count_4(0);计数器中的qa是0位 qb=count_4(1);计数器中的qb是1位 qc=count_4(2);计数器中的qc是2位 qd=count_4(3);计数器中的qd是3位,process(clk,clr)进程 begin if(clr=1)THEN 如果clr=1 count_4=0000;计数器清零 ELSIF(clk=1 AND clkEVENT)THEN clk=1,上升沿动作 IF(en=1)THEN 如果使能端en=1 IF(count_4=1111)THEN 且4位计数器的状态是1011 count_4=0000;那么计数器返回初态0000 ELSE count_4=count_4+1;否则计数器加1 END IF;END IF;END IF;END PROCESS;END RTL;,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号