数字电路的分析与设计.ppt

上传人:sccc 文档编号:5348516 上传时间:2023-06-28 格式:PPT 页数:108 大小:1.08MB
返回 下载 相关 举报
数字电路的分析与设计.ppt_第1页
第1页 / 共108页
数字电路的分析与设计.ppt_第2页
第2页 / 共108页
数字电路的分析与设计.ppt_第3页
第3页 / 共108页
数字电路的分析与设计.ppt_第4页
第4页 / 共108页
数字电路的分析与设计.ppt_第5页
第5页 / 共108页
点击查看更多>>
资源描述

《数字电路的分析与设计.ppt》由会员分享,可在线阅读,更多相关《数字电路的分析与设计.ppt(108页珍藏版)》请在三一办公上搜索。

1、第5章 数字电路的分析与设计,第5章 数字电路的分析与设计,5.1 数字电路中的常用元件与仪器5.1.1 CMOS 4000系列5.1.2 TTL 74系列5.1.3 数据转换器5.1.4 可编程逻辑器件及现场可编程逻辑阵列5.1.5 显示器件5.1.6 调试工具5.2 555定时器5.2.1 555定时器的内部构成5.2.2 555定时器组成的多谐振荡器5.2.3 555定时器组成的单稳态电路,5.3 四路彩灯5.3.1 核心器件74LS194简介5.3.2 题目分析与设计5.3.3 仿真5.3.4 扩展电路5.4 八路抢答器5.4.1 核心器件74LS148简介5.4.2 题目分析与设计5

2、.5 数字钟5.5.1 核心器件74LS90简介5.5.2 分步设计与仿真5.6 音乐教室控制台5.6.1 核心器件74LS190简介5.6.2 题目分析与设计,数字电路不同于模拟电路,它是以数字信为处理对象,研究各输入与输出之间的联系,实现一定的逻辑关系的电路。数字逻辑基础主要涵盖数制与编码、布尔代数及其逻辑实现、集成逻辑电路、触发器,为电路分析和设计准备基础知识;数字电路的分析和设计方法,包括组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路以及脉冲产生与整形电路;可编程逻辑器件,包括ROM、PLA、PAL、GAL及在系统编程技术。本章我们以几个数字逻辑电路设计中的经典例子,来说明Prote

3、us在数字电路的分析和设计中的强有力辅助作用。,5.1 数字电路中的常用元件与仪器,由于Proteus为英文界面,这对于部分专业英文不太好的读者来说,调用各类元件是件头疼的事。我们除了在第二章把元件的分类给大家作了系统介绍外,还会在各章对各类常用元件和仪器做进一步的介绍。希望读者能够通过重复来加深记忆,熟能生巧。,5.1.1 CMOS 4000系列,打开拾取元件对话框,在类别中位于第三的是CMOS 4000 series,即CMOS 4000系列元件,如图5-1所示,它是一种早期生产的CMOS器件,在国外已限用,但由于这类器件比较便宜,目前我们国家使用的还比较多。,图5-1 CMOS 4000

4、系列元件,4000系列与74系列是对应的,比如4000系列的4511和74系列的7448对应,都是BCD到七段显示译码器,输出高电平有效,如图5-2所示。从图中可以看出,除了4、5管脚的标识和用法稍有不同外,其他管脚号及标识都一样。它们用来驱动共阴极七段数码显示。但提醒大家注意的是,它们的工作电压和逻辑电平标准并不完全一致。,图5-2 BCD到七段显示译码器4511与7448,4000系列元件的子类划分,如图5-3所示,和74系列也是对应的,如表5-1所示。,另外,元件也可按生产厂家来查找,如图5-3中的Fairchild、Miccochip和Texas Instruments都是制造商的名称

5、。,图5-3 4000系列元件的子类,5.1.2 TTL 74系列,TTL74系列根据制造工艺的不同又分为如图5-4所示的几大类,每一类的元件的子类都相似,比如7400和74LS00功能一样。,图5-4 TTL 74系列,由于每一类元件众多,而对于学过数字电子技术的读者来说,对常用的元件功能代号已熟悉,可在元件拾取对话框中的“Keywords”中键入元件名称,采用直接查询的方式比较省时,如图 5-5所示。,图5-5 直接拾取元件对话框,5.1.3 数据转换器,数据转换器在Proteus元件拾取对话框中的“Data Converters”类中,如图5-6所示。常用数据转换器有并行8位模数转换器(

6、如ADC0809)、8位数模转换器(如DAC0808)、LF采样保持器、MAX串行数模转换器、位双斜坡AD转换器、具有I2C接口的小型串行数字湿度传感器TC74及具有SPI接口的温度传感器TC72和TC77等。可按子类来查找,图5-6 数据转换器类元件拾取对话框,5.1.4 可编程逻辑器件及现场可编程逻辑阵列,可编程逻辑器件及现场可编程逻辑阵列位于Proteus元件拾取对话框中的 PLDs&FPGAs类中,此类元件较少,没有再划分子类,一共有十二个元件,如图5-7所示。,图5-7 可编程逻辑器件及现场可编程逻辑阵列类元件,5.1.5 显示器件,数字电路分析与设计中常用的显示器件在Proteus

7、元件拾取对话框中的Optoelectronics类中,如图5-8所示。,图5-8 显示器件,常用的七段显示,元件名的前缀为7SEG-,在用到此类元件时,采取部分查询方法,直接在“Keywords”中输入“7SEG-”即可,根据元件后面的英文说明来选取所需元件。比如,图5-8中右面前三行列举的元件都是七段BCD数码显示,输入为四位BCD码,用时可省去显示译码器;第四、五、六行都是七段共阳极数码管,输入端应接显示译码器7447。第七、八、九行三个数码管都是七段共阴极接法,使用时输入端应用接显示译码器7448。我们来仔细看一下显示器件的子类划分,如图5-9所示。显示器件共分十类,如表5-2所示。,图

8、5-9 显示器件的子类,表5-2 显示器件的分类,常用的发光二极管LEDs子类中的元件如图5-10所示。选用时要用ACTIVE库中的元件而不用DEVICE库中的元件,在本书中,我们都使用这一规定,ACTIVE库中的元件是能动画演示的,而DEVICE是不能的,但像一般电阻就不需要动画演示,可用DEVICE库中的元件。,图5-10 子类LEDs 中的器件,“Bargraph Displays”条状显示子类中只有两个元件,如图5-11所示。主要区别在于颜色不同,这个元件相当于十个LED二极管并排放置在一起,管脚号小的一端接高电平,管脚号大的一端接低电平。在多个发光二极管共同使用时,通常用它比较方便。

9、,图5-11 条状显示,5.1.6 调试工具,数字电路分析与设计中常用的调试工具在Proteus元件拾取对话框中的“Debugging Tools”类中,一共不到二十个,如图5-12所示。其中最常用的是逻辑电平探测器LOGICPROBEBIG(用在电路的输出端)、逻辑状态LOGICSTATE和逻辑电平翻转LOGICTOGGLE(用在电路的输入端)。不妨调出来试试看。,图5-12 调试工具,上述讲到的显示元件和调试工具,我们已经调出来了一部分,并适当地连了线,如图5-13所示。,图5-13 部分元件和调试工具的使用方法,5.2 555 定 时 器,555定时器是一个非常有用的模拟数字混合器件,我

10、们在进行数字逻辑电路设计时经常要用它来组成无稳态或单稳态电路,产生连续或单个脉冲。555定时器能在宽电源电压范围内工作,可承受较大的负载电流。双极型555定时器的电源电压为516V,最大负载电流为200mA。CMOS型7555定时器的电源电压为318V,最大负载电流为4mA。下面我们对555定时器内部的工作原理及几种应用电路进行详细介绍。,5.2.1 555定时器的内部构成,555定时器因其内部有三个5K串联电阻而得名。内部仿真原理图见图5-14,其中4端复位未给出。U1和U2为两个模拟器件,接成了电压比较器;U3和U4两个与非门接成了低电平输入有效的锁存器,前面各加上一个反相器,变成了输入高

11、电平有效的锁存器,U5为反相缓冲器,驱动输出;Q1为三极管,发射极1端应接地,通过控制其基极电位使其工作在导通或关断两个状态。,图5-14 555定时器的内部仿真原理图,由于理想运放输入端电流可考虑为零,所以三个5K电阻串联对8端的直流电源Vcc进行分压,其中U1的反相端和U2的同相端分别为2Vcc/3和Vcc/3。555定时器的三个输入端与输出端及内部三极管的状态之间的关系如表5-3所示。,表5-3 555定时器输入输出之间的关系,5.2.2 555定时器组成的多谐振荡器,555定时器外接一个电容充放电电路即可构成一个无稳态多谐振荡器,在3端产生方波信号,且频率可调,如图5-15所示,图5-

12、15 555定时器构成的多谐振荡器,在555定时器的电源8端和接地1端之间从上到下串接电阻R4、R5和电容C2。把555定时器的6端和2端(即内部两个电压比较器的同相和反相输入端)连在一起,再接到电容C2上端,即两个比较器的外部输入电压都取为电容C2上的变化量,再与各自的固定电压2Vcc/3和Vcc/3比较,触发锁存器,使Q1饱和导通。因7端接在R5上方,此时相当于接地,C2通过R5放电。然后R4、R5和C2回路再充电,反复进行的结果,将导致3端输出方波。为了观看这种效果,C2应拾取“CAPACITOR”(ACTIVE库)元件,且在U5前放置“LOGICPROBEBIG”逻辑电平探测器,观察输

13、出电平的变化及与Q1导通之间的关系。,下面再放置一个图表分析。这在学完第一章已经有了初步的印象,图表分析不同于示波器,可静态分析图形,并且自动生成,还可随图形一起打印,用于分析或教学很方便。图表中可添加轨迹,所谓轨迹,即电路中被测点的电压随时间变化的曲线,可以是模拟量或数字量。添加轨迹的第一步是在被测点加上电压探针,一共加四个,分别为C2上的模拟电压变化量Vc、内部5K电阻上的两个固定点电压V2/3和V1/3以及输出Vout。先运行仿真,可以看到这几点电压值的变化情况。,停止仿真。点击左边工具栏内的图表类型按钮,在对象选择区“GRAPHS”中选“MIXED”(混合)项,如图5-16所示。然后在

14、图形编辑区点击鼠标左键拖出一个图表分析框,再次点击左键确认,如图5-17所示。,图5-16 图表类型选择,图5-17 图表分析框,在图5-17中的非标题区,即中间的空白区双击,出现如图5-18所示的对话框,可修改图表分析的标题为“555 ANALYSIS”。再把横轴的时间长度改为6秒。因为本题555构成的方波周期为1秒,这样可出现6个周期,当然也可以再少几个周期。,图5-18 修改标题及横坐标,接下来可在图表框中加入轨迹,即我们上边添加的四个电压探针,但这里我们只添加两个轨迹,Vc和Vout。这两个量一个为模拟量,一个为数字量。加入轨迹时,多个模拟量的纵坐标起始点一般是一样的,数字量则位于不同

15、的位置。为了使Vc和Vout位于同一起始高度,必须把二者都当作模拟量来添加。在图表框内点击右键即出现右键菜单,选取“Add Traces”,出现一个对话框。先选择轨迹类型为“Analog”模拟量,在Probe P1中出现四个探针,选择Vc,如图5-19所示,点击“OK”,关闭对话框。再重复添加轨迹,仍选择轨迹类型“Analog”,在Probe P1中选择“Vout”。按“Space”空格键即生成相应的波形,而不必点击仿真运行按钮。,图5-19 添加模拟量轨迹对话框,移动鼠标指针到图表分析框的标题处,鼠标变成画笔状,双击,出现图表分析的放大画面,可修改它的各项属性,尤其是背景及轨迹的颜色。555

16、定时器接成多谐振荡器时的频率计算公式为,其中,由此可计算出图5-15中的输出频率约为1Hz。由集成器件连接而成的频率可调的方波发生器电路如图5-20所示。示波器的动态波形如图5-21所示。,图5-20 由集成555定时器构成的多谐振荡器,图5-21 多谐振荡器示波器的波形,5.2.3 555定时器组成的单稳态电路,555定时器接成单稳态电路时,通过外部触发可产生单脉冲,且脉冲宽度Tw可通过下面式子计算。,图5-22为单稳态电路的仿真图。其中R1和按钮组成一个负脉冲发生器,操作时动作尽量为快,这个时间要远远小于Tw的宽度才能观察到效果。示波器的图形如图5-23所示,其中上方的正脉冲为单稳态电路的

17、输出,下方为触发脉冲。,图5-22 555构成的单稳态电路,图5-23 555构成的单稳态电路示波器波形,5.3 四 路 彩 灯,四路彩灯是数字电路设计中一个非常有趣的课题,结合Proteus会使整个设计和分析快捷而轻松。题目设计要求如下:共有四个彩灯,分别实现三个过程,构成一个循环共12秒;第一个过程要求四个灯依次点亮,共4秒;第二个过程要求四个灯依次熄灭,共4秒,先亮者后灭;最后4秒要求四个灯同时亮一下灭一下,共闪4下。,5.3.1 核心器件74LS194简介,其实这个题目主要考察的是四位双向通用移位寄存器74LS194的灵活应用,四个灯可用四个发光二极管表示。74LS194的引脚图如图5

18、-24所示。,图5-24 74LS194的引脚,图5-24中引脚MR为复位信号,正常工作时应接高电平;CLK为时钟信号,上升沿到来时有效。74LS194的时序图如图5-25所示。,图5-25 74LS194的时序图,74LS194有四种工作方式,分别由S1S0组成的两位二进制数来控制,如表5-4所示。,表5-4 74LS194的四种工作方式,74LS194的功能如表5-5所示。,表5-5 74LS194的功能表,5.3.2 题目分析与设计,此题应把四路彩灯接在74LS194的Q0Q3上,SR稳定接一高电平,SL稳定接地电位,而D0D3接周期为1秒的方波信号。下面关键是时钟和方式控制S1S0的信

19、号如何实现才能满足题目的要求。三个过程每个4秒,加起来正好12秒。如果选择CLK为周期1s的方波信号,好像就可以了,但是前两个过程可以,最后一个过程却不能精确地实现。图5-26是正确的CLK信号与1Hz方波信号的比较。,图5-26 正确的CLK信号与1Hz方波信号的比较,前面我们已经确定D0D3接1Hz的方波信号,那么Q0Q3在读D0D3的信号时是在CLK上升沿到来的一瞬间,看图5-26的前半部分,如果二者一样,CLK的每个上升沿到来时读到的都是高电平,灯就会一直亮着,不会出现闪的效果。所以,当74LS194的工作方式为11时,一定要改变CLK的信号频率为D0D3信号频率的2倍,才可以在D0D

20、3的一个周期内出现CLK的两个上升沿,Q0Q3分别读到1和0各一次,如图5-26的后半部分。即正确的时钟信号在整个12秒时间应该是前8秒为1Hz的频率,后4秒变为2Hz的频率,可以用555定时器产生2Hz的方波信号,再用D触发器分频产生1Hz的方波信号,如图5-27所示。二者分别与控制信号相与再通过或门即可得到CLK信号。,图5-27 用555产生的2Hz及1Hz方波信号,下面再来分析S1S0的信号。四种工作方式中剔除第一种S1S0为00的情况,那么S1S0应按01、10、11的顺序循环,可设计一个同步计数器,时钟周期为4秒,共三个状态。S1及S0的波形应如图5-28所示。S1S0与非及相与的

21、结果如图中后两个信号,正好用来分别锁定1Hz及2Hz信号,分别与它们相与后再进入或门,即产生了正确的时钟信号,如图5-26所示,图5-28 S1及S0的波形图,S1S0信号的产生可用集成计数器实现,但在这里,为加强同步时序逻辑电路的设计知识,我们使用D触发器来设计一个同步三进制计数器,时钟周期为4秒。设计步骤如下:(1)列状态真值表。设S1S0对应的触发器输出分别为Q1Q0,则状态真值表如表5-6所示。,表5-6 74状态真值表,(2)求状态方程。根据列出的状态真值表,分别求出Q1和Q0的状态方程为,(3)求驱动方程。由D触发器的特性方程可直接写出驱动方程为,(4)电路实现。,根据驱动方程,连

22、接电路如图5-29所示。因为我们设计出的是一个同步时序逻辑电路,注意图中两个D触发器的时钟连接在一起接周期为4秒的时钟信号。这部分电路也可以直接用集成计数器来完成,见后面。,图5-29 产生S1S0的三进制同步计数器,5.3.3 仿真,根据以上分析,连接电路如图5-30所示,其中省去了555及二分频电路,直接用数字脉冲源进行仿真。另外,图中所有D触发器的异步输入端在实际电路连接时最好接高电平。产生时钟的电路用与非与非逻辑替代了与或逻辑,因为与非门的应用最普遍。平时我们在设计电路时,通过卡诺图化简得到的与或式,要想全部用与非门实现,可在草纸上直接画成与或逻辑,然后只需要在与门的输出端与此线的另一

23、头即或门的输入端各加一个小圆圈,两个逻辑非抵消,不影响逻辑关系,直到把或门的输入处理完毕为止。这样或门前面的与门都变成了与非门,或门变成了非或门,而根据摩根定理,非或门恒等于与非门。图5-30中的U4:B、U4:C和U4:D就是用与非与非逻辑实现的与或逻辑。,图5-30 四路彩灯的仿真图,5.3.4 扩展电路,在四路彩灯电路的设计过程中,你可以充分发挥自己的想象空间,扩展出花样不同的电路。我们会想到用两片74LS194来完成八路彩灯电路的设计,要求可以和前面的例子一样,也可以不一样。如果彩灯的动作是两个、两个一组,八个彩灯共分成四组,依次点亮和熄灭,共同闪烁,应该怎样实现?或者说两个、两个一组

24、,流水似的向左或向右滚动,又该怎样实现?其实,关键问题有两个:一是四路彩灯的工作方式(右移、左移或并行输出),二是信号的模式(三个输入信号各是什么样的状态?高电平、低电平抑或是方波)。解决了这两个问题,其它就很容易明白和实现了。下面我们重点来分析一下八路彩灯的实现方法。要求和上例一样,八个灯从左到右依次点亮,各一秒,共八秒;接下来八个灯从右到左依次熄灭,各一秒,共8秒;最后八个灯同时闪烁八次,也是八秒。共24秒。因为前例中我们已经做了详细的分析,这里的灯的动作流程没有什么变化,只不过要把两片74LS194连接成一个整体,接收统一的指令来工作。另外我们把它们的移位方式控制信号S1S0的产生电路变

25、成易于实现的集成电路来完成。图5-31是已设计完成的仿真电路图。,图5-31 八路彩灯的仿真图,计数器74190是一个中规模集成、十进制可逆计数器,通过或门把它接成一个模三的计数器,即当输出为0100时,装入数据0001,构成循环000100100011 0001。74190的Q1Q0输出作为两片74LS194的移位方式控制信号S1S0,把两片74LS194的S1和S0分别并起来后再接这两个信号。图中74190接成了加计数的形式。再来看一看两片74LS194是如何连接的。首先把两个芯片的时钟并在起,接成同步时序电路。接着把两个芯片的并行数据输入端D3D2D1D0全部连接在一起外接一个周期为一秒

26、的方波信号,实现八个灯一起闪烁。最后是左移和右移信号的处理。上面的芯片所驱动的灯先依次点亮,所以右移时的输入信号应从它的SR输入,接高电平;把上面的芯片的输出Q3接下面芯片的SR,这样右移时的信号就可以从第一个芯片的Q0一直传递到第二个芯片的Q3了。左移时也一样,输入信号接下面芯片的SL,下面芯片的Q0接上面芯片的SL。在图5-31中,左移和右移的输入信号直接来自74LS194的输出。,5.4 八路抢答器,抢答器的应用非常普遍,可用在各类竞赛中。本题目的设计要求如下:主持人按下抢答“开始”按钮,同时喇叭发出“嘀”的一声,八路抢答开始;八路抢答按钮的编号分别为18,一次只能有一人抢答成功;当某一

27、路抢答成功时,发光二极管立即点亮,并在数码管上显示该路的号数,直到主持人按复位开关为止,其他人再抢答无效;主持人按“复位”按钮后,必须下次重新抢按“开始”按钮才能继续抢答。,5.4.1 核心器件74LS148简介,仔细分析知道,抢答器的输入为八路抢答按钮及主持人控制的抢答开始和清零两个按钮。抢答器的输出有一个发光二极管、一个数码管和一个蜂鸣器。因为要把八路的开关量转变成对应的数字来显示,而显示译码器接收的是BCD码,所以这里要用到8-3线编码器。而74LS148是一个中规模且具有优先编码权限的集成器件,它的优先权按输入端编号从高到低。74LS148的引脚图如图5-32所示。,图5-32 74L

28、S148的引脚图,EI是使能端,低电平有效。EO和GS都为输出,且互反。当EI有效,且正常编码时,即八个输入中有任一个输入有效,则EO为高电平,GS为低电平;如果没有一路输入为低电平,则EO为低电平,GS为高电平。这两个引脚通常用于芯片的扩展。输入编号为7的优先权最高。当EI有效时,输入与输入的对应关系如表5-7所示。,表5-7 74LS148的输入输出对应关系,5.4.2 题目分析与设计,为了能使数码管正确显示每一路输入的对应编号,还必须把74LS148输出的反码再正过来。加反相器行吗?因为编码器是组合逻辑电路,信号不能保持,一旦某一路的抢答按钮抢答结束,输出编码也就不复存在了,数字无法持续

29、显示,所以必须使用锁存器电路,对74LS148的输出进行取反的同时并锁存,直到复位信号到来。八路抢答器的原理如图5-33所示,下面我们分块来介绍设计原理。1.编码部分 由八路电阻与按钮串接在电源和地之间,中间点引出接到优先编码器74LS148的八个输入端,S1S7分别接到输入17,而S8接到输入0上,当S8动作时显示“8”。这样使抢答者的编号17正好与编码器的输入和输出对应上。,六个D触发器用来锁存信号,只使用异步输入端,相当于低电平输入有效的RS锁存器。中间三个D触发器的异步置位S端接编码器的三个输出,经过反相保持后接到显示译码器的输入端。异步清零端R都接到另一个D触发器U5:B的端,由复位

30、按钮来控制。输入S8按钮接到了74LS148的输入0上,而它的优先权是最低的,也就是只要没有其他输入有效就会编0的编码,造成此开关按下或不按下都显示0。这样的话,我们可以直接把这S8经锁存器U5:A接到74LS47显示译码器的测灯输入端LT(低电平有效),只要S8按下就显示8,这样S8的优先权就变成最高的了。而8位抢答者之间是没有优先权之分的,所以,无论谁先抢答,此时都会使 74LS148的GS信号为高电平,把此信号经过D触发器U8:A锁存再与置位、复位信号U5:B相或产生74LS148的使能信号。当“开始”按下后,U5:B异步置位,此信号作为U8:A的时钟使其输出高电平与U5:B的输出相或,

31、来封锁74LS148的使能信号EI,达到S1S8中任一开关按下后其他开关不起作用。,图5-33 八路抢答器的完整电路,2.复位部分 复位电路分别由两个电阻串两个按钮以及一个D触发器U5:B组成。按下“复位”按钮,使U5:B的异步置位端S有效,端为低电平,使图5-33上排的五个锁存器及U8:A全清零。这时显示译码器接收到输入为0的信号,而从U3:B的Q端送来的低电平信号接在74LS47的灭零输入端RBI,即当输入ABCD皆为低而5端又为低时,输出什么也不显示。“复位”按后,由于处于灭零显示状态,使EI为高电平,故抢答不起作用。只有“开始”按钮按后才起作用。,3.显示部分 显示部分电路一是数码管显

32、示,二是发光二极管显示。数码管显示主要注意两点:显8时使用测灯输入端LT为低来实现,而该显示0时采用灭零输入端RBI为低来转换(RBO为输出端不要接任何线)。复位时采用灭零显示。即开始抢答前,如没有抢答,数码管不显示,复位后也不显示。发光二极管的显示比较简单,只要有抢答就开始亮,直到复位。它应是两部分信号的或逻辑产生的高电平来点亮发光二极管。一是S8抢答有效,二是S1S7抢答有效。,当S8抢答时,U5:A的输出低电平与74LS148的使能信号EI相或后给74LS47的测灯输入,使数码管各段全部点亮显示8,而U5:A的Q端输出为高,我们引入到或门;当S1S7抢答时,U3:B的Q送给74LS47的

33、灭零输入端RBI的是高电平,不允许灭零,把它也引入到或门。故当八路有任一路抢答时,或门U6:A输出高电平驱动发光二极管亮。“复位”按钮一作用,各D触发器改变状态,或门的两个输入都变成低电平,发光管熄灭,同时把74LS148的使能信号EI高电平解除。,4.蜂鸣部分 这部分要求,开始按钮一按下,发出一声短促的“滴”声。考虑用555组成单稳态电路,产生一个一定宽度的正脉冲,输出驱动蜂鸣器发音。关于声音的输出,Proteus提供了三个仿真元件,即SPEAKER、SOUDER和BUZZER,分别由模拟量信号、数字量信号和直流电源来驱动,使用时要注意适当修改它们的电压才能使其正确工作。把电路分解成若干部分

34、,先分析核心部分,再不断分析解决出现的问题,进行分步仿真验证,逐步完善电路,最终设计出整个电路图。,5.5 数 字 钟,数字钟电路是一款经典的数字逻辑电路,它可以是一个简单的秒钟,也可以只计分和时,还可以计秒、分、时,分别为12小时制或24小时制,外加校时和整点报时电路。本题目的设计要求为:能计秒、分、时,且为24小时制;能进行数字显示;分和时能够校对;实现整点报时功能,且四高一低。,5.5.1 核心器件74LS90简介,本题目的核心器件是计数器。计数器的选择很多,常用的有同步十进制计数器74HC160以及异步二、五、十进制计数器74LS90。这里选用74LS90芯片。74LS90的引脚图如图

35、5-34所示。,图5-34 74LS90引脚图,74LS90内部是由两部分电路组成的。一部分是由时钟CKA与一位触发器Q0组成的二进制计数器,可计一位二进制数;另外一部分是由时钟CKB与三个触发器Q1、Q2、Q3组成的五进制异步计数器,可计五个数000100。如果把Q0和CKB连接起来,CKB从Q0取信号,外部时钟信号接到CKA上,那么由时钟CKA和Q0、Q1、Q2、Q3组成十进制计数器。R0(1)和R0(2)是异步清零端,两个同时为高电平有效;R9(1)和R9(2)是置9端,两个同时为高电平时,Q3Q2Q1Q0=1001;正常计数时,必须保证R0(1)和R0(2)中至少一个接低电平,R9(1

36、)和R9(2)中至少一个接低电平。74LS90的功能如表5-8所示。,表5-8 74LS90的功能表,毫无疑问,本题每个74LS90都应首先接成十进制计数器,如图5-35所示。74LS90内部原理如图5-36所示,这是一个异步时序电路。图中的S1、S2对应于集成芯片的6、7管脚,R1、R2对应于集成芯片的2、3管脚,CP0对应于14管脚,CP1对应于12管脚,Q3、Q2、Q1、Q0分别对应于11、8、9、12管脚。,图5-35 74LS90接成的十进制计数器,图5-36 74LS90的内部原理图,5.5.2 分步设计与仿真,1.计时电路 计时电路共分三部分:计秒、计分和计时。其中计秒和计分都是

37、60进制,而计时为24进制。难点在于三者之间进位信号的实现。(1)计秒、计分电路 个位向十位的进位实现。用两片74LS90异步计数器接成一个异步的60进制计数器。所谓异步60进制计数器,即两片74LS90的时钟不一致。个位时钟为1Hz方波来计秒,十位计数器的时钟信号需要从个位计数器来提供。进位信号的要求是在十个秒脉冲中只产生一个下降沿,且与第十秒的下降沿对齐。只能从个位计数器的输出端来提供,不可能从其输入端来找。而计数器的输出端只有Q0、Q1、Q2、Q3四个信号,要么是其中一个,要么是它们之间的逻辑运算结果。,把个位的四个输出波形画出来,如图5-37所示。由于74LS90是在时钟的下降沿到来时

38、计数,所以Q3正好符合要求,在十秒之内只给出一个下降沿,且与第十秒的下降沿对齐。Q2虽然也只产生一个下降沿,但产生的时刻不对。,图5-37 74LS90接成的个位计数器时序图,这样,个位和十位之间的进位信号就找到了,把个位的Q3(11端)连接到十位的CKA(14端)上。六十进制的实现。当计秒到59时,希望回00。此时个位正好是计满十个数,不用清零即可自动从9回0;十位应接成六进制,即从05循环计数。用异步清零法,当6出现的瞬间,即Q3Q2Q1Q0=0110时,同时给R0(1)和R0(1)高电平,使这个状态变成0000,由于6出现的时间很短,被0取代。接线如图5-38所示。,图5-38 74LS

39、90接成的60进制计数器,当十位计数到6时,输出0110,其中正好有两个高电平,把这两个高电平Q2和Q1分别接到74LS90的R0(1)和R0(1)端,即可实现清零。一旦清零,Q2和Q1都为0,不能再继续清零,恢复正常计数,直到下次再同时为1。计秒电路的仿真图如图5-38所示,计分电路和计秒电路是完全一致的,只是周期为1S的时钟信号改成了周期为60秒即1分的时钟信号。秒向分的进位信号的实现。,计分电路的关键问题是找到秒向分的进位信号。当秒电路计到59秒时,产生一个高电平,在计到60时变为低电平,来一个下降沿送给计分电路做时钟。计秒电路在计到59时的十位和个位的状态分别为0101和1001,把这

40、四个1与起来即可,即十位的Q2和Q0,个位的Q3和Q0,与的结果作为进位信号。使用74LS20四入与非门串反相器构成与门,如图5-39所示。计分电路与计秒电路一样,只是四入与门产生的信号应标识为59分。,图5-39 计分电路的时钟信号,(2)计时电路 用两片74LS90实现二十四进制计数器,首先把两片74LS90都接成十进制,并且两片之间连接成具有十的进位关系,即接成一百进制计数器,然后在计到24时,十位和个位同时清零。计到24时,十位的Q1=1,个位的Q2=1,应分别把这两个信号连接到双方芯片的R0(1)和R0(2)端。如个位的Q2接到两个74LS90的R0(1)清零端,十位的Q1接到两个7

41、4LS90的R0(2)清零端。计时电路的个位时钟信号来自秒、分电路产生59分59秒两个信号相与的结果,如图5-40所示,图5-40 24进制计时电路,计分和计时电路可以先单独用秒脉冲调试,以节省时间。联调时,可把秒脉冲的频率加大。以上三部分电路接起来就是一个简单的无校时和报时的数字钟电路,如图5-41所示。图中为了把数显集中在一块,可以直接把时、分、秒的数码管拖动到一起。但为了仿真时使器件管件的逻辑状态显示不影响数显的效果,可以从主菜单中把逻辑状态显示去掉。具体操作为【System】【Set Animation Options】打开如图5-42所示的对话框,取消选中“Animation Opt

42、ions”中的“Show Logic State of Pins?”,然后单击“OK”按钮。,图5-41 具有秒、分、时的数字钟电路,2.校时电路 接下来把校时电路加上。校时电路主要完成校分和校时。选择校分时,拨动一次开关,分自动加一;选择校时时,拨动一次开关,小时自动加一。校时校分应准确无误,能实现理想的时间校对。校时校分时应切断秒、分、时计数电路之间的进位连线。如图5-43,虚框内是校时电路,由去抖动电路和选择电路组成。,图5-42 仿真参数设置对话框,图5-43 校时电路,(1)去抖动电路 去抖动电路主要是由两个与非门构成的低电平触发有效的RS锁存器,SW1为校时拔动开关,无论校分或校时

43、都拨动该开关。拨动一个来回,在U16:B与非门的输出端产生一个稳定的下降沿。(2)选择电路 SW2 和SW3都拔到左边,选择校时;SW2 拔到右边、SW4拔到左边,选择校分;如果正常计数时,SW3 和SW4都拔到右边,与校时电路断开联系。3.整点报时电路,所谓整点报时,只报时不报分。为了简化电路,每当计到59分50秒时开始报时,响一秒停一秒,正好响五次。前四次为低音,最后一响为高音。(1)报时开始信号 计到59分50秒时,分和秒计数器的状态如下:分十位:Q3Q2Q1Q0=0101 分个位:Q3Q2Q1Q0=1001 秒十位:Q3Q2Q1Q0=0101 其中,计到59分的信号已有,如图5-42中

44、所示。只需把它和计秒电路的十位中的Q2Q0相与作为开始报时的一个条件即可。见图5-44,U17:A和U6:F组成的与门输出即为报时开始信号。,图5-44 整点报时电路,(2)报时锁存信号 用秒个位的计数器输出进行四高一低的报时锁存信号。现在来分析一下5059秒之间秒个位的状态。,秒个位:Q3Q2Q1Q0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1,结合题目要求,通过这些状态的观察发现,秒个位的和Q0逻辑与后,正好在秒个位计到1、3、5、7时产生高电平,0、2、4、6时产生低电平,

45、可作低四声报时的锁存信号;秒个位的Q3和Q0逻辑与后,正好在秒个位为9时产生高电平,可作高音的报时锁存信号,这样就产生了两个报时锁存信号。,(3)报时 把上述分析得到的报时开始信号分别和两个报时锁存信号相与,产生两路报时锁存信号,如图5-44,上面一路为高音报时锁存,下面一路为低音报时锁存。图中左面三个与非门实现的是与或逻辑,前面已经有介绍。上下两路报时锁存信号分别与1kHz和500Hz的音频信号(20Hz20kHz)相与或来驱动数字喇叭,实现整点报时功能。这里喇叭使用元件SOUNDER,它接收数字信号。,需要说明的是,调试时,可以把59分50秒这个报时开始信号直接用高电平取代,这样比较省时。

46、另外实际连接电路时,可用555定时器产生一个1kHz的方波,再经D触发器二分频得到500Hz的方波信号。计时电路的1Hz方波也可由555定时器产生,但由于标准电阻和电容值的选择会带来一些积累误差,也可选用其他更精确的振荡电路来实现。图5-45是完整的数字钟电路图,图5-45 完整的数字钟电路图,5.6 音乐教室控制台,音乐教室控制台并不是数字逻辑电路中很经典的题目,但它主要用了可逆计数器和数据分配器,加强了数字组合逻辑电路中非常重要的两个环节的应用。题目设计要求如下:音乐教室分多个室,教师和学生不在同一个室,要求教师对学生通过语音进行课堂指导,可任意指定要指导的学生,也可按顺序指导,共有学生4

47、0名。教师所在的屋设有数码显示以显示每个学生的代号(0039),并设有拔码开关,可设置要单个指导的学生代号或轮流指导的初始学生代号。单个指导时,指导时间由教师决定,轮流指导时时间可调。轮流指导时,有正序和反序两种方式。正序从N到39,再返回N;反序从N到N1,直到00,再返回N。,5.6.1 核心器件74LS190简介,本题目所用到的核心器件是十进制可逆计数器74LS190(也可用4510)。74LS190的引脚图如图5-46所示。,图5-46 74LS190的引脚图,CLK时钟上升沿。当=0时,加计数;当=1时,减计数。E到来时计数。计数方式有两种,加计数和减计数为使能端,低电平有效。PL为

48、异步预置数端,低电平时,把D3、D2、D1、D0输入端预设的数对应输出到Q3、Q2、Q1、Q0中。TC和RCO都为终端计数输出,前者输出正脉冲,后者输出负脉冲,分别为加计数计到90和减计数计到09时输出脉冲。,5.6.2 题目分析与设计,本题目采用两片74LS90可逆计数器来计0039个数,采用四片74HC154(4-16)译码器作数据分配器,接40个发光二极管来模拟学生端收到的信号。本题只进行单向信息传送,如果要实现双向信息传送,即教师也可听到学生弹琴或视唱,则需另加其他电路,这里暂不考虑。1.计数电路 计数电路是本题目设计的难点和重点,主要包括两位十进制加减计数器的级连、预置数电路中拨码开

49、关的模拟、手动置数和自动加、减计数电路的实现及显示电路的设计。(1)计数器的级连 先不考虑预置这部分,把两个74LS190连接成同步的100进制计数器,即把两个芯片的时钟接在一起,个位的计数终端输出RCO接到十位的使能端E上,因为一个是09或90时输出负脉冲,一个是输入低电平有效,如图5-47所示。,图5-47 计数器的连接,(2)计数方式的连接 两片74LS190应具有同样的计数方式。所以应把二者的连接在一起,接到一个两位开关上,当开关接高电平时,减计数;当开关接地时,加计数。(3)预置数端 预置数端PL低电平有效。此题有手动和自动两种方式,手动置数时,教师在置数电路中先置一个数,比如20号

50、学生,再把手动/自动预置数开关拨到低电平,直接使PL=0,把20装入到计数器中,计数器不进行计数,一直保持目前状态,直到PL=1为止。在这段时间内认为教师指导20号学生,表示该生接收信息的对应发光二极管会一直亮。,当自动置数时,又分加计数和减计数两种情况。加计数时,从N计到40时,给出一个低电平信号使PL有效,装入提前设置好的数N;减计数时,减到00后,再减一个数变成99,此时要产生一个低电平信号使PL有效,装入提前设置好的数N,再接着进行减计数。通过以上的分析,连接成如图5-47所示的电路。双联开关同时用于选择加/减计方式和加减计数时产生的不同的PL信号,反送给PL。由于加计数时计到40返回

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号