时序逻辑电路分析.ppt

上传人:牧羊曲112 文档编号:5356928 上传时间:2023-06-29 格式:PPT 页数:30 大小:1,008.50KB
返回 下载 相关 举报
时序逻辑电路分析.ppt_第1页
第1页 / 共30页
时序逻辑电路分析.ppt_第2页
第2页 / 共30页
时序逻辑电路分析.ppt_第3页
第3页 / 共30页
时序逻辑电路分析.ppt_第4页
第4页 / 共30页
时序逻辑电路分析.ppt_第5页
第5页 / 共30页
点击查看更多>>
资源描述

《时序逻辑电路分析.ppt》由会员分享,可在线阅读,更多相关《时序逻辑电路分析.ppt(30页珍藏版)》请在三一办公上搜索。

1、1,时序逻辑电路-分析,2,1 概 述,组合逻辑电路:如译码器,全加器,数据选择器时序逻辑电路:(简称时序电路)任意时刻的输出信号不仅取决于该时刻的输入信号,而且还取决于电路原来的状态,即与以前的输入信号有关。如触发器,寄存器,计数器和移位寄存器等,3,反馈,输出方程,驱动方程,状态方程,4,同步时序电路:所有存储电路中存储单元状态的变化都是在同一时钟信号操作下同时发生的。异步时序电路:存储单元状态的变化不是同时发生的。可能有公共的时钟信号,也可能没有公共的时钟信号。米利(Mealy)型电路:某时刻的输出是该时刻的输入和电路状态的函数穆尔(Moore)型电路:某时刻的输出仅是该时刻电路状态的函

2、数,与该时刻的输入无关,如同步计数器。(*CP不是输入),5,2 时序逻辑电路的分析,根据其逻辑图分析出该电路实现的功能,分析步骤,1、从给定的逻辑图中写出每个触发器的驱动方程(即写出存储电路中每个触发器输入信号的逻辑表达式);2、将驱动方程代入触发器的特性方程,得出每个触发器的状态方程;3、根据逻辑电路写出电路的输出方程;4、画状态转换表/状态转换图/时序图。,6,同步,7,8,异步,Q0下降沿,9,3 寄存器,寄存器和移位寄存器,计数器,10,寄存器:存放多位二值代码。每个触发器存放一位二进制数或一个逻辑变量,由n个触发器构成的寄存器可存放n位二进制数或n个逻辑变量的值。,四位寄存器,寄存

3、器,11,四位寄存器,12,八D寄存器:三态输出,共输出控制,共时钟,13,移位寄存器,所谓“移位”,就是将寄存器所存各位数据,在每个移位脉冲的作用下,向左或向右移动一位。根据移位方向,常把它分成三种:,14,1011,15,四位并入-串出的左移寄存器,16,R右移串行输入,L左移串行输入,A、B、C、D并行输入,0,1,1,1,1,0 0,0 1,1 0,1 1,直接清零,保 持,右移(从QA向QD移动),左移(从QD向QA移动),并行输入,17,例:数据传送方式变换电路,18,在电路中,“右移输入”端接 5V。,19,集成移位寄存器简介,20,4 计数器,4.1 计数器的功能和分类,1.计

4、数器的作用,记忆输入脉冲的个数;用于定时、分频、产生节拍脉冲及进行数字运算等等。,2.计数器的分类,按工作方式分:同步计数器和异步计数器。,按功能分:加法计数器、减法计数器和可逆计数器。,按计数器的计数容量(或称模数)来分:各种不同的计数器,如二进制计数器、十进制计数器、二十进制计数器等等。,21,4.2 异步计数器,异步计数器的特点:在异步计数器内部,有的触发器直接受输入计数脉冲控制,有的触发器则是把其它触发器的输出信号作为自己的时钟脉冲,因此各个触发器状态变换的时间先后不一,故被称为“异步计数器”。,有异步二进制计数器和异步十进制计数器,常用的异步二进制计数器有4位、7位、12和14位。,

5、22,加法,分频,23,减法,简单,速度慢。,24,4.3 同步计数器,同步计数器的特点:在同步计数器内部,各个触发器都受同一时钟脉冲输入计数脉冲的控制,因此,它们状态的更新几乎是同时的,故被称为“同步计数器”。,二进制加法运算规则:对一个多位二进制而言,最低位每次加1都改变状态,而第i位(除最低位外)仅有当以下各位皆为1时才改变状态,25,26,多功能计数器,27,10010000,用模16构成模10,28,半导体存储器,半导体存储器是一种能存储大量二值信息(或称为二值的数据)的半导体器件。随机存取存储器(Random Access Memory,RAM)只读存储器(Read Only Memory,ROM),29,可编程逻辑器件简介,Programmable Logic Device(PLD),(V)LSI,通过编程来实现逻辑功能。FPLA,PAL,GAL,FPGA,ISP功能密度高、系统可靠性高、设计灵活方便、开发周期短EDA,开发系统,VHDL,下载,30,作业,10-910-15,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号