EDA移位寄存器.ppt

上传人:小飞机 文档编号:5428643 上传时间:2023-07-06 格式:PPT 页数:11 大小:247KB
返回 下载 相关 举报
EDA移位寄存器.ppt_第1页
第1页 / 共11页
EDA移位寄存器.ppt_第2页
第2页 / 共11页
EDA移位寄存器.ppt_第3页
第3页 / 共11页
EDA移位寄存器.ppt_第4页
第4页 / 共11页
EDA移位寄存器.ppt_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《EDA移位寄存器.ppt》由会员分享,可在线阅读,更多相关《EDA移位寄存器.ppt(11页珍藏版)》请在三一办公上搜索。

1、移位寄存器,-实验评述,一、简单移位寄存器,二、带左右移位的移位寄存器,P186,library ieee;use ieee.std_logic_1164.all;entity shifter isport(din:in bit_vector(3 downto 0);clk,load,left_right:in std_logic;dout:inout bit_vector(3 downto 0);end shifter;architecture synth of shifter is-signal shift_val:bit_vector(3 downto 0);begin,nxt:proc

2、ess(load,left_right,din,dout)beginif(load=1)then shift_val=din;elsif(left_right=0)then shift_val(2 downto 0)=dout(3 downto 1);shift_val(3)=0;else shift_val(3 downto 1)=dout(2 downto 0);shift_val(0)=0;end if;end process;current:process begin wait until clkevent and clk=1;dout=shift_val;end process;end synth;,三、带并行控制的移位寄存器,四、桶形移位,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号