[信息与通信]lcd维修指导书.ppt

上传人:sccc 文档编号:5614748 上传时间:2023-08-02 格式:PPT 页数:65 大小:2.44MB
返回 下载 相关 举报
[信息与通信]lcd维修指导书.ppt_第1页
第1页 / 共65页
[信息与通信]lcd维修指导书.ppt_第2页
第2页 / 共65页
[信息与通信]lcd维修指导书.ppt_第3页
第3页 / 共65页
[信息与通信]lcd维修指导书.ppt_第4页
第4页 / 共65页
[信息与通信]lcd维修指导书.ppt_第5页
第5页 / 共65页
点击查看更多>>
资源描述

《[信息与通信]lcd维修指导书.ppt》由会员分享,可在线阅读,更多相关《[信息与通信]lcd维修指导书.ppt(65页珍藏版)》请在三一办公上搜索。

1、1,液晶显示器维修指导书,冠捷售后服务技术支持部,T560K,保密资料内部使用,2,让维修化难为易是我们的目标,技术服务中心,REV:01,2002年10月,3,前言,本手册经由魏吓凤、高志远两位工程师几周的努力,终于呈现在各位CS同仁面前。本手册凝聚了STS工程师的经验与智慧,是他们维修LCD Monitor的经验结晶。本手册主要是作为大家维修LCD时参考使用手册中所列之点位,希望各们同仁在维修之前先行熟悉,维修时再按图索骥,即可事半功倍。由于时间仓促、经验不足无法涉猎所有的故障,请各位同仁多多包涵,如有疑难问题请与售后服务技术支持部联系。TEL:O591-5271516,4,目录,仪器设备

2、及焊接技巧.5TFT LCD成像原理.7T560K LCD概述.14T560K 自产ADPTER原理.15升压板电路原理.23T560K 主板电路分析.29T560K LCD维修流程图.45T560K主板电路原理图 57GMZAN1管脚功能.60,5,一、仪器设备:CHROMA 2000以上的(信号发生器)20MHZ 示波器 防静电温控烙铁 静电手环 防静电桌垫 IC拔焊台(用于拔四列IC集成块,目前不良较少,可暂不考虑使用)二、注意事项:1、烙铁保养注意事项 在进行焊接前,必须先把清洁海绵加水,再挤干多余的水份,作为清洁烙铁头之用。工作后,先把电源切断,然后清洁焊咀,再加上一层新焊锡以保护烙

3、铁头。2、焊接注意事项 烙铁温度可控制在430左右。清除锡渣时尽量避免使用吸锡器防止翘皮。焊接时,请勿用力过大,否则会使焊咀变形。焊接时间最好控制在3秒以内,防止良品元件损坏。3、所有接触到LCD电路板的设备均要有良好的接地 三:拆除贴片元件要点:1、在不良元件两端堆加焊锡。2、可适量加点助焊剂。3、用烙铁头的扇面直接接触贴片元件使焊锡熔化。拆除贴片(电阻,电容,电感)等元件,就可以利用扁平烙铁头的宽度,直接贴在元件两端等待焊锡熔化,这时烙铁 可向前推,不良元件就会自动沾在烙铁头上,便可轻松拆除。拆除贴片(排感,排容)等类似元件时,先用烙铁头加温元件一端,使之焊锡熔化。再加温另外一端,快速反复

4、动作,把不良元件推向周边空位地方。(注意:不要造成周边元件短路)同时用镊子夹住元件,便可拆除元件。,仪器设备及焊接技巧,6,四:焊接贴片元件要点:1、把不良元件点位上的锡渣清除干净。这点很重要,关系焊接的美观与牢固,下面介绍两种方法可以利用。用吸锡编织线,把它放在点位上,用30W烙铁头压在编织线上面使之受热,等锡渣熔化,便可轻轻一拉清 除干净。这时应该防止静电。利用烙铁头沾锡原理,把锡渣清除干净。首先,把烙铁头清洁干净,然后放在点位上把锡沾走。反复上面动作,便可清除干净。2、更换良品元件,焊接动作过程:可适量加点助焊剂,以便于焊接。b 把烙铁头清洁干净,在烙铁头的方位加点焊锡(注意量不要太多)

5、。用镊子夹住良品元件贴上。把己沾过锡的烙铁去焊接其中一端,同时要调整另外一端,把元件贴对准。去掉镊子,焊接另外一端。在焊接多个脚元件时,应先在一端固定2个脚以上再焊接另外一端,重复上面动作。3、焊接完毕,应用酒精清洗部位。,7,TFT LCD成像原理,LCD(Liquid Crystal Display)液晶显示器使用了目前最新的全彩显示技术。TFT LCD显示器的“核心”液晶屏的结构是在两块玻璃基板中间充斥着运动的 液晶分子。信号电压直接控制薄膜晶体管的开关状态,再利用晶体管控制液晶 分子,来实现图像的显示。基本上,整个液晶显示技术的概念是利用液晶的物理特性:通电时导通,排列变的有秩序,使光

6、线容易通过;不通电时排列混乱,阻止光线 通过。让液晶如闸门般地阻隔或让光线穿透。还有,利用液晶的光电效应,籍由外部电压的控制,再通过液晶分子的折射特性,及对光线的旋转能力来获 得亮暗情况,达到显像目的。,什么是液晶?液晶是介于固态和液态之间,不但具有固态晶体光学特性,又具有液态流动特性。它的物理特性包括:黏性(visco-sity)、弹性(elasticity)和极化性(polarizalility)。其黏性和弹性,使其对于方向不同的作用力具有不同的效果,可实现流动自由能最低的物理模型及产生自然偏转现象。极化性使液晶在受到外加电场作用时,很容易产生感应偶极性,形成光电效应。,8,Array面板

7、說明,Panel 显示原理图,9,TFT元件的運作原理,(2)VgsVth:訊號讀取,10,LCD技术缺陷,规则LCD遵守一系列与CRT显示不同的规则。LCD克服了CRT体积庞大、耗电和闪烁的缺点,但也同时带来了造价过高、视角不广以及彩色显示不理想等问题。CRT显示可选择一系列分辨率,而且能按屏幕要求加以调整,但LCD屏只含有固定数量的液晶单元,只能在全屏幕使用一种分辨率显示(每个单元就是一个像素)。CRT通常有三个电子枪,射出的电子流必须精确聚集,否则就得不到清晰的图像显示。但LCD不存在聚焦问题,因为每个液晶单元都是单独开关的。这正是同样一幅图在LCD屏幕上为什么如此清晰的原因。LCD也不

8、必关心刷新频率和闪烁,液晶单元要么开,要么关,所以在40-60Hz这样的低刷新频率下显示的图像不会比75Hz下显示的图像更闪烁。另一方面,LCD屏的液晶单元极易出现暇疵。对1024x768的屏幕来说,每个像素都由三个单元构成,分别负责红、绿和蓝色的显示一所以总共约需240万个单元(1024x768x3=2359296)。很难保证所有这些单元都完好无损。最有可能的是,其中一部分己经短路(出现“亮点”),或者断路(出现“黑点”)。有些顾客可能认为如此高昂的价格应该买到完美的LCD显示屏-很不幸这不是现实,最多能挑到暇点不特别明显的屏幕而已。,11,LCD显示屏包含了在CRT技术中未曾用到的一些东西

9、。为屏幕提供光源的是盘绕在其背后的荧光管。有些时候,我们会发现屏幕的某一部分出现异常亮的线条。也可能出现一些不雅的条纹,一幅特殊的浅色或深色图像会对相邻的显示区域造成影响。此外,一些相当精密的图案(比如经抖动处理的图像)可能在液晶显示屏上出现难看的波纹或者干扰纹。另外还有一个视角或者观察角度的问题。LCD之所以存在视角问题,是由于它采用的是光线透射机制,会对穿过屏幕的光线进行调节。而CRT是一种光线发射系统。对CRT来说,屏幕背后的特殊材料(荧光粉)能主动发射出光线。而在LCD中,虽然光线能穿透正确的像素,但倾斜的光线也会穿透相邻的像素,所以从正常视角之外观看时会发现颜色严重失真。,12,LC

10、D的性能参数与CRT有较大区别,主要反映在色度(色彩多少种或多少位)、分辨率、像素点距、刷新频率、防眩、防反、观察屏幕视角等方面。,1、分辨率:LCD的分辨率与CRT显示器不同,一般不能任意调整,它是制造商所设置和规定的。分辨率是指屏幕上每行有多少像素点、每列有多少像素点,一般用矩阵行列式来表示,其中每个像素点都能被计算机单独访问。现在LCD的分辨率一般是800点600行的SVGA显示模式和1024点768行的XGA显示模式。2、刷新率:LCD刷新频率是指显示帧频,亦即每个像素为该频率所刷新的时间,与屏幕扫描速度及避免屏幕闪烁的能力相关。也就是说刷新频率过低,可能出现屏幕图像闪烁或抖动。3、防

11、眩光防反射:防眩光防反射主要是为了减轻用户眼睛疲劳所增设的功能。由于LCD屏幕的物理结构特点,屏幕的前景反光,屏幕的背景光与漏光,以及像素自身的对比度和亮度都将对用户眼睛产生不同程度的反射和眩光。特别是视角改变时,表现更明显。4、观察屏幕视角:是指操作员可以从不同的方向清晰地观察屏幕上所有内容的角度,这与LCD是双层超扭曲向列(Double Super Twist Nematic)还是薄膜式晶体管(Thin Film Transister)有很大关系。因为前者是靠屏幕两边的晶体管扫描屏幕发光,后者是靠自身每个像素后面的晶体管发光,其对比度和亮度的差别,决定了它们观察屏幕的视角有较大区别。DST

12、NLCD一般只有60度,TFTLCD则有160度。,13,5、可视角度:一般而言,LCD的可视角度都是左右对称的,但上下可就不一定了。而且,常常是上下角度小于左右角度。当然了,可视角是愈大愈好。然而,大家必须要了解的是可视角的定义。当我们说可视角是左右80度时,表示站在始于屏幕法线80度的位置时仍可清晰看见屏幕图像,但每个人的视力不同;因此我们以对比度为准。在最大可视角时所量到的对比愈大愈好。一般而言,业界有CR3 10及CR3 5两种标准(CR is Contrast Ratio 即对比度)。6、亮度、对比度:TFT液晶显示器的可接受亮度为150cd/m2以上,目前国内能见到的TFT液晶显示

13、器亮度都在200cd/m2左右,亮度低一点则感觉暗,再亮当然更好,然而对绝大多数用户而言却没有什么实际意义。7、响应时间:响应时间愈小愈好,它反应了液晶显示器各象素点对输入信号反应的速度,即pixel由暗转亮或由亮转暗的速度。响应时间越小则使用者在看运动画面时不会出现尾影拖拽的感觉。一般会将反应速率分为两个部份:Rising 和Falling;而表示时以两者之和为准。8、显示色素:几乎所有15英寸 LCD都只能显示高彩(256K),因此许多厂商使用了所谓的FRC(Frame Rate Control)技术以仿真的方式来表现出全彩的画面。当然,此全彩画面必须依赖显示卡的显存,并非使用者的显示卡可

14、支持16百万色全彩就能使LCD 显示出全彩。,14,T560K LCD MONITOR概述,LCD 显示器原理,升压板,PANEL 及冷阴极荧光管,主板及接口电路,CCFT,电路适配器,按键小板,视频信号-DDC接口,AC-IN 100V240V,PC机,RS232通讯(用于工厂模式时调整),LCD MONITOR包括:主板、PANEL、升压板、电源板、按键板。主板包括控制PA逻楫电路,控制亮度的逻辑电路,DDC以及AC-DC转换;升压板用于驱动PANEL背灯管;电源板则提控12V DC/3.5A 给主板及升压板等模块供电。,15,自产Adapter电路图,16,Adapter即电源适配器,由

15、于LCD是低电压工作,而一般市用电网提供的是110V或220V的交流电压,所以需要在显示器上专门配有电源适配器其作用就是将电网的220V交流电压转换成12V的直流电压向整个LCD Monitor供电。由于显示器内部的主板上还有电压转换,所以12V的电压输入就能满足要求。在LCD Monitor中Adapter采用的是开关电源设计方法。开关电源具有体积小、重量轻、变换效率高等优点,因此被广泛应用于电子产品中,特别是脉宽调制(PWM)型的单片开关电源。PWM型开关电源的特点是固定开关频率,改变脉冲宽度来调节占空比。其基本工作原理:交流220V输入电压经过整流滤波电路变成直流电压,再由开关功率管斩波

16、和高频变压器降压,得到高频矩形波电压,经整流滤波后获得所需要的直流输出电压。脉宽调治器是这类开关电源的核心,它能产生频率固定而脉冲宽度可调的驱动信号,控制开关功率管的通断状态,来调节输出电压的高低,达到稳压的目的。以下将要介绍的电源适配器就是这种类型的脉宽调制的单片开关电源。它所用的是UC3842脉宽调制集成控制器。UC3842有下列性能特点:它属于电流型单端PWM调制器,具有管脚数量少、外围电路简单、安装调试简便、性能优良、价格低廉等优点。能通过高频变压器与电网隔离,适合于构成无工频变压器的2050W小功率开关电源。最高开关频率为500kHz,频率稳定度达0.2%。电源效率高,输出电流大,能

17、直接驱动双极型功率晶体管或VMOS管、DMOS管、TMOS管。内部有高稳定的基准电压源,典型值为5.0V,允许有0.1%的偏差。温度系数为0.2mV/。稳压性能好。其电压调整率可达0.01%/V。启动电流小于1mA,正常工作电流为15mA。除具有输入端过压保护与输出端过流保护电路之外,还设有欠压锁定电路,使工作更稳定、可靠。可调整的振荡电路,可精确地控制占空比,具有自动补偿功能。带锁定的PWM,可以进行逐个脉冲的电流限制。,T560K电源电路分析,17,UC3842内部框图,如下图即为UC3842的内部框图。其各引脚的作用如下:Pin1:自动补偿;Pin2:电压反馈输入端;Pin3:过流检测端

18、;Pin4:振荡输入端;Pin5:接地端;Pin6:脉冲输出端;Pin7:直流输入端;Pin8:基准电压输出端;该IC用于Adapter的电路图如附图2所示。这里采用N沟道MOS功率场效应管作为开关功率管,设计的输出电压Vo=12V。以下将分析该电路的工作原理。,18,UC3842构成稳压电源输出+12V,UC3842的工作原理:PIN7为电源电压输入端,其启动电压范围为1634V,在电源 启动时,如果Vic小于16V时输入电压施密特比 较器输出器输出为0V,此时无基准电压产生,电路 不工作,当Vic大于16V时,输入电压施密特比较器输出 5V基准电压,此时内部电路工作,另一方面通过8脚向外部

19、提供参考电压,此时整个IC启动工作。3842工作 后,Vic可以在1030V范围内变化而不影响工作壮态,当Vic低于10V时,施密特比较器又翻转为低电平,电 路停止工作。当基准稳压源有5V基准电压输出时,基准电压检测逻辑比较器既送出高电平信号到输出电路,同时,振荡器将根据4脚外接Rat、Ct的参数振荡信号,引信号一路直接加到图腾柱式电路的输入端,另一路 加到PWM脉冲宽度控制器RS触发器的置位端,RS型PWM脉宽调制器的R端接电流检测比较器输出端,R端 为占空比调节控制器,当R 电压上升时,Q输出端脉冲加宽,同时6脚送出脉冲也加宽(占空比加大);当R 电压下降时,Q输出端脉宽变窄,同时6脚送出

20、的脉冲变窄(占空比减小)。2脚一般接输出电压取样信号,也称反馈信号,当2脚电压上升时,1脚 电压将下降,R端随之下降,从而脉宽变窄;反之6脚脉冲变宽。3脚 为电流检测端,通常在功率管的源极式发射极串入一小阻值的取样电阻,将流过开关管的电流转换为电压,并将此引入3脚,当负载短路或其它原因引功率管电流增加,并使取样电阻的电压超过1V时,6脚输出被关掉,3842保护无输出。UC3842的误差放大器同相输入端接在内部的+2.5V基准电压上,反相输入端接收外部控制信号。在输出端 和反相输入端之间可外接RC补偿网络,在使用过程中可改变RC的取值来改变放大器的闭环增益和频率响应。UC3842还能自动限流,将

21、Ipm限制在1.18A。把过流检测电阻上的电压直接加在过流检测比较器的同相输入 端。只要该电压达到1V,就会使比较器翻转,输出变成高电平,将PWM锁存器置零,使脉冲调制器处于关 闭状态,从而实现过流保护。由于噪声干扰的影响,开关功率管有可能超负荷工作而损坏,为此芯片设有PWM锁存器。其作用是保证在 每个时钟周期内只输出一个脉宽调制信号,能消除在过流检测比较器翻转时产生的噪声干扰。,19,该电路属于单端反激式变换器。所谓单端,是指高频变压器的磁芯仅工作在磁滞回线的一侧,并且只有一个输出端。所谓反激,是指MOS开关功率管导通时,整流二极管D911截止,电能就储存在高频变压器的初级电感线圈中;当MO

22、S功率管关断时D911导通,初级线圈上的电能传输给次极绕组,并经过D911输出。以下图5-2是该电路的工作原理框图。,图5-2 电路的工作原理框图,ADPTER 原理框图,目前T560K电源适配器常用有四种:其中理亚厂家有三种:料号分别是 80AL15-1-LI 80AL15-2-LI 80AL15-3-LI AOC自产有:715A901-1-1 我们主要对我们自产电源适配器进行分析,(一),20,(二).电路讲解:(针对自产电源板)1、AC电源经过整流出为280V直流电压,通过启动电路给IC901(3842)PIN7供电正常约为(15V左右),4脚开始振荡约58KHZ然后6脚输出PWM波给开

23、关管(Q901),使之整个开关电源工作;同时在8脚输出 5V的基准电压。开关电源工作,T901 PIN5感应电动势,经D902整流出14V电压给IC901供电。次级绕组 8、9输出感应电动势经过D911整流滤波输出12VDC电压。,如图5.7所示电路。当UC3842输出的如图5.8的波形,Q901做开关状态,其工作频率为58.5kHz,占空比为11.4%。T901开始工作,在高电平Q901导通,T901的初级线圈有电流流过,产生上正下负的电压,则次级产生下正上负的感应电动势,这时次级上的二极管D911截止,此阶段为储能阶段;而低电平时,开关管截止,初级线圈上的电流在瞬间变为0,初级的电动势为下

24、正上负,在次级上感应出上正下负的电动势,此时D911导通,有电压输出。再经过整流滤波后即可输出。,图5-6 T901工作回路,21,图5-7 UC3842输出脉冲(=58.9KHz),当开关管工作时,在其DS极上产生如图5-8所示的电压波形。由图中可以看出该电压波形有较大的浪涌电压和振铃现象,其浪涌电压的峰-峰值超过70V这是由MOS管自身关断时产生和内部二极管的反向恢复特性产生的浪涌电压,由于在电路中没有加RC吸收电路或加二极管来抑制而产生的。图5-6中T901的次级输出端的二极管上并接了一RC(R931、R932、C920)回路,用于吸收二极管D911上产生的浪涌电压。2、稳压原理:取样电

25、路由IC903 IC905 R936 R937 R924 等组成的作用使电源稳定在12V输出。当输出电压超出12V时,IC905控制极R的电位上升,Vikki下降,IC903 PIN1、2电流加大,使IC903 PIN4、3电流加大IC901 PIN2电压上升,那么IC901 PIN6输出PWM波脉宽变窄,输出电压下降。反之,IC901 PIN6输出PWM波变宽、输出电压上升,达到稳压电压输出作用。其中的IC905为TL431芯片。其内部原理图如图5-10所示。其内部有一个电压比较器,该电压比较器的 反相输入端接内部基准电压,该基准电压提供一个基准的比较电压,该电压为2.495V2%。该比较器

26、 的同相输入端接外部控制电压,比较器的输出用于驱动一个NPN的晶体管,使晶体管导通,电流就可 以从Cathode端流向Anode。,图5-8 Q901漏极电压波形(=58.9KHz),22,3、保护电路:在以下三种状态,保护电路起动:1)当IC901 pin3电压1V时 2)当IC901 pin1电压1V时 3)当输出电压太高时,PIN7下降4V 前两种是电流反馈型,当负载短路,开关调整管Q901导通时间变长及电流增大,很容易过流损坏。过流保护由取样电阻R930、R929组成,当流过Q901电流增大,R930两端电压也上升,IC901 PIN3 升高到1V时保护动作。过压保护:当取样误差反馈电

27、路或脉宽调整电路发生故障时,由于开关管Q901导通时间过长会引起 输 出电压急剧上升,当超过18V时ZD901击穿,Q903 B极电压上升,Q902、Q903保和导通,使IC901的PIN7电压下降约4V,IC3842停止工作。,12V的直流电压经过R936,R937分压,在R937上产生电压该电压直接加到TL431的R端,由电路上的电阻参数可知该电压正好能使TL431导通。这样就要电流流过发光二极管,光电耦合器IC903开始工作。至此完成电压的取样。,图5.10 TL431原理图,23,升压板电路原理图,24,INVERTER 原理,介绍:INVERTER即是升压板,是DC转AC升压电路。它

28、将主板送入12V直流电压转换成1500V-1800V 的高压交流电,频率30-50KHZ,电流6-9mA(以上数值是因PANEL的特性参数差异而不同)PANEL的灯管在高压交流电作用下被点亮,1500V-1800V的交流电持续1-2S降至600-800V的 稳定电压,电流约6mA。因此INVERTER具有以下几个功能:,能够产生1500V以上的高压交流电,并且在短时间内迅速降至800V左右,这段时间约持续1-2S,电压的曲线如图4-1所示;,2.由于Inverter提供电流的大小将影响冷阴极荧光灯管的使用寿命,因此输出的电流应小于9mA,需要有过流保护功能;,3.出于使用的考虑,要有控制功能,

29、即在按power 键OFF之后,灯管不亮,该控制信号可以由主板上的MCU或GmZan1提供;,25,一、INVERTER的基本组成框图,Inverter输入接口部分:Inverter输入部分有3个信号它们分别为:12V直流输入VIN、工作使能电压ENB及Panel电流控制信号 DIM。其中12V直流由Adapter提供;ENB电压由主板上的MCU或GMZAN1提供,其值为0或4.9V,当ENB=0时,Inverter不工作,而ENB=4.9V时,Inverter处于正常工作状态;而DIM电压由主板提供,其变化范围在05V之间,将不同的DIM值反馈给PWM控制器反馈端,Inverter向负载提供

30、的电流也将不同,DIM值越小,Inverter输出的电流就越大。电压启动回路:下图电路是常用的电源控制回路,由一个PNP和一个NPN管组成它有两个工作阶段:,电源控制回路,26,1、TL5001的各脚功能简要说明 PIN1:输出占空比可调节的方波 PIN5:短路保护 PIN2:VCC供电 PIN6:占空比限制 PIN3:误差比较器输出 PIN7:振荡器外接电阻 PIN4:反馈 PIN8:地,二、PWM IC(TL5001)的工作原理,第一阶段:当ON/OFF电压为低电平(0V)时,Q1管处于截止状态,因此Q2管也截止,此时Q2管C集上的直流电压不能加到IC1(TL5001)的Pin2输入端,所

31、以IC1因无输入而不工作,Pin1就无输出脉冲,因此整个Inverter就不工作;第二阶段:ON/OFF为高电平,此时Q1管饱和导通,Q2管B极被拉低,因Q2为PNP管,且其C极上加有12V的直流电压,故Q2导通,12V电压加至IC供电脚Pin2,启动IC工作,IC1就有脉冲输出去控制开关管工作,整个Inverter就处于正常工作状态,输出高压去点亮Panel的背光灯灯管。,2、TL5001工作原理:(电路79AL15-6-S),INVERTER输出反馈:TL5001第4脚为INVERTER输出反馈电路,反馈回路由R17、R18、D4、C12、C4、R5构成,负载信息通过该回路取样反馈到IC内

32、部的比较器(如图1)。空载保护:当CON3和CON2没有接Panel背景灯管负载时,TL5001第4脚没有反馈电压,这时IC内部误差放大器输出为高电位,即Comp(IC Pin3)为高电位,并超出DTC电压值,使输出关掉,即IC Pin1 PWM输出关掉,同时,由于Comp电位的升高,通过内部电路SCP comparator1的比较,使输出为低电位,内部基准2.5V为SCP外接电容充电,当电位升到大于1V时,SCP comparator2动作也同样使输出关掉。DTC(dead tine control)占空比限制:TL5001第6脚为DTC,该脚电位取决于外接电阻(IC内部一个恒流源在DTC外

33、接形成固定电压)。OSC振荡器:TL5001内部集成一个振荡频率从20k500K的可以改变振荡频率的振荡器,振荡频率取决于IC第7脚RT外接电阻,外接电阻从15K到250K。公司INVERTER外接电阻为33K,振荡频率为185KHZ,振荡三角波App.值从0.7到1.3V之间,Comp OSC DTC三路比较生成PWM波形,通过改变flyable的值可以线性的改善Q4(14431)S极的输出电压,从而改变Panel背景灯的亮度,达到panel画面亮度的目的。SCP(short circuit protection):Tl5001内部有防止输出短路的保护回路,当输出对地短路时,内部基准电压对S

34、CP外接电容充电达到1V时,关掉1脚输出的PWM。,27,UVLO(低电压保护under voltage-wckout protection):TL5001内部带有低电压保护,当输入供电太低时,保护回路将PWM输出关掉。Error Crmphfier(误差放大):TL5001第3、4脚内部带一个误差放大器,4脚为FB反馈信号同误差放大器+端1V基准电压比较,输出的3脚COMP同4脚FB输入是一种反相的关系,4脚输入若为线性增大,COMP输出为线性减小。,3、IC内部方框图:,TL5001内部原理图,28,1)TL5001第1脚外接的Q3、D1构成一个射随放大器,加速Q4的导通,改善PWM的tr

35、时间,加速Q4导通与截止,Q4(S14431)外接8个脚,主要目的为散热作用。,2)D3、R14、Q7、R13构成过压保护电路,当负载电压过高时,D3击穿,Q7导通,R13并入R8,大大降低DTC的电压,使ComP电压远远超过DTC值,达到关掉输出之目的。,3)高压产生及输出:Q5、Q6周围元件构成一个振荡,当电路工作时,Q5、Q6替导通,产生一个如图1的电压波形:该电压小波形频率约为96KHZ,Vpp为12V该电压通过PT1的耦合升压到正常工作Vpp为600800V,该值取决于PT1的初、次级的匝数比,级过耦合之后,输的电压波形变为频率为48KHZ的正弦波,为初级波形频率的1/2。,4.IN

36、VERTER的其它原理:,图1,29,主板部分电路分析,由下图可知,主板是由PANEL控制逻辑,亮度控制逻辑,DC to DC转换逻辑,传输TTL电平信号到 LCD显示模块电路等组成。,1、主板上各主要IC芯片描述:MCU:8051单片机,其主要作用有:电源控制,OSD控制,频率计算,RS232通信等。GMZAN1:集成ADC、OSD、SCALER,把计算机输入的RGB模拟视频信号转换为数字信号,并通过差补缩放处理,输出至液晶显示器PANEL时序控制电路。LM2596:直流电源变换器,用于将12V输入转变为5V的直流输出。AIC1084:也是直流电源变换器,用于将5V输入转变为3.3V的直流输

37、出。24LC21:1KB EEPROM,用于存储表示显示设备标志的DDC数据,其中包含有:设备的基本参数,制造厂商,产品名称,最大行频,可支持的分辨率等等。24C04:4KB EEPROM,用于存储Auto Config数据,白平衡数据,POWER KEY状态及POWER ON计数数据等。,30,20MHZ2.3Vp-p,一、MCU(控制处理器)部份电路原理图,开机为低电平复位,31,MCU(控制处理器)部份电路功能说明,1、晶体振荡器:X300为u302处理器提供时钟,频率为20MHZ。C303、C306是起频率补偿作用,容量为33PF/50V。2、复位电路:由C313、D301、R313、

38、电路组成,开机时由C313电容放电,MCU(PIN10)RST电压 从1.65V0V变化。然后,由MCU(PIN18)输出的高电平对Gmzan1进行二次复位。3、串行通信:在MCU与Gmzan1的串行通信中由HDATA0(数据位)HCLK(时钟信号)HFS(主同步信号)IPQ(中断请求)因某些TIMING输入条件,使Gmzan1芯片产生中断,输出IRQ信号给MCU。4、多功能总线MFB 由MCU(PIN3、4、5)与Gmzan1之间通信。5、MCU(Pin8、Pin9)是背灯管与PANEL供电控制,若JP301和JP212短接是由MCU直接控制(灯管与PANEL电压)。若接JP300与JP21

39、1,是由GMZAN1输出控制。6、MCU(PIN 37、38、39、40、41、42、43)为按键板OSD 功能控制。7、MCU(11、13)脚、RXD TXD 工厂模式调整白平衡用。8、MCU PIN31 为按键与飞梭控制功能脚,接R319为按键型,断开为飞梭型。9、MCU(24、25、26)脚外接上拉电阻或下拉电阻是匹配不同PANEL与INVERTER(逆变器)背灯管。10、MCU PIN19为空信号检测脚,高电平为空信号,低电平为接入信号。11、MCU PIN44 PIN35 为供电脚,PIN22为接地12、U101 24LC04(EEROM)IC、4KB、(1)PIN5、6与MCU(1

40、6、17)脚IIC总线,SDA、SDL。(2)PIN8 为供电脚,如接JP102、就是5V供电,否则3.3V供电。(3)1、2、3、4脚接地。,32,MCU与Gmzan1通讯示意图,33,75hz 4Vp-p,4Vp-p 60khz,从MCU PIN18输出一 个低电平给GMZAN1二次复位,54Mhz 1.5Vp-p 频率随输入行频变化,约1.2Vp-p 晶振U201波形 50Mhz,3.3V,GMZAN1,8页,34,GMZAN1芯片介绍GMZAN1为SVGA/XGA LCD显示器图形处理器,包括GAMMA矫正,绿色复合同步信号解码电路,增强OSD功能等。特点:a 内含135MHz 8-b

41、its ADC及预放大电路;b自适应对比度增强电路;c 片内可编程OSD引擎;d整和PLL;e 10-bits可编程GAMMA矫正;f 支持24位色;g 1或4个数据位接口。信号输入格式:模拟信号RGB输入可达XGA/85Hz;支持复合同步绿色信号输入(Sync On Green);支持复合同步信号模式;输出格式 支持8或6-bits的PANEL接口;单、双象素输出格式;自动设置/自动调整 相位、图象自动调整;自动侦测输入格式;集成OSD显示芯片 片内内建可用户扩展字符RAM、ROM;可扩充外部OSD支持;支持字符与位图显示;字符显示效果有:闪烁、镶嵌、透明等;,GMZAN1控制电路,GMZA

42、N1功能描述 图1-1为GMZAN1主要功能模块,35,二、Gmzan1总方框图,时钟恢复回路GmZan1有一个内部的时钟恢复回路,这个回路由一个数字时钟合成器和模拟电路PLL组成。它用来产生取样时钟信号,以采集模拟的RGB数据。这个回路锁定于输入的行同步信号,以从MCU的晶振输出的TCLK时钟输入产生的RCLK作为参照时钟。时钟恢复回路用来调整源时钟频率(SCLK);在每个行同步信号输入的上升沿产生反馈信号。包括第一个和最后一个行同步信号都可以产生60MHz的频率。在工作电压及温度要求的范围内,可以在1ms之内实现。当PANEL的时钟信号与源时钟信号(或一半)不同时,有一个象素时钟用来驱动P

43、ANEL。它是由一个和时钟恢复回路一样的回路产生的。它们的区别在于:源时钟信号锁定于行同步输入信号,而目的时钟信号锁定于源时钟信号。,三路ADC 取样,源时序生成检测(STG模块),差补缩放处理,gamma系数调整与消抖,PANEL TIMING控制,时钟恢复电路,像素时钟生成电路,OSD 控制,主机接口,RCLK 参照时钟,MCU,模拟R G B,TOPANEL,图(1-1),GMZAN1(图形处理)芯片功能描述,GMZAN1是高性价比的SVGA/XGA.LCD显示器图形处理器内含:时钟恢复、模数转换ADC、数据通道 OSD控制 PANEL TIMING控制主机接口等电路。如框图(1-1),

44、36,模/数转换器(ADC)GmZan1内部集成了3个模/数转换器(ADC),每一色一个(R、G、B)。每一个ADC由一个内置的CLAMP回路,通过一些大 约10nF的滤波电容,一些杂讯可以被消除,CLAMP的脉冲位置和宽度是可以通过编程实现。信号支持:GmZan1芯片支持数字分离信号、数字混合信号和模拟混合信号。支持所有的这些信号都不需要额外的外围电路。每个ADC都是8-bits输出,用于将输入的模拟R G B信号转换成8-bits的数字信号,分别为R0-R7、G0-G7、B0-B7。信号的连接请参照下表 Pin Connection for RGB Input,源时序产生器(STG)STG

45、模块定义了一个图形抓取窗口,并且发送数据给数据通道模块。图(1-2)显示了这个窗口的定义。在水平的方向,它被定义在SCLKs(等价的像素计算).(equivalent to a pixel count).在纵向的方向,它被定义在行.所有以“Source”开头的参数均被定义在GmZan1的寄存器中。请注意场总值只跟输入信号有关。参考点定义如下:一行的第一像素:在原时钟信号的上升沿,极性从低的向高的像素。帧的首行:在行同步信号的上升沿,极性从低的向高的行。,图(1-2),37,源时序TIMING生成检测。当它收到一个信号(R G B 以及同步信号)后,源TIMING计算单元会用信号和TCLK来作为

46、考,计算行、场的 TIMING。通过对每个参数的取样,得出水平方向的最大值,和最小值,计算的值得每一行都会更新。根据行的参 数值求得出场的参数。行同步输入信号的边缘值用来检查场同步输入信号的极性。,输入信号TIMING计算 输入的数据由模数转换器输向源TIMING产生器(STG)模块。STG模块定义1 个图像抓取窗口,并且发送到数据 通道模块。输入TIMING计算模块包括:源TIMING 计算(STM)模块和中断请求(IRQ)控制器,输入TIMING的 参数是由STM模块计算储存在寄存器中。中断请求控制器:某些输入的TIMING条件能使GMZAN1芯片产生中断。中断产生条件,38,主机接口 G

47、MZAN1的微处理器接口有两种操作模式:GMB120兼容模式和一个4BIT 串行接口模式。GMB120兼容4BIT信号模式由一个数据位,一个画面同步信号,一个时钟信号和一个中断请求信号(IRQ)组成,当MFB6 接一个上拉电阻时进入这个模式。4-bits串行接口模式:该模式下每个时钟沿有4个数据位,当MFB6(Pin106)接一个下拉电阻(10K)时,进入这个模式。在此模式下,一个复位脚拉低时设置芯片到一个已知状态。当CVDD稳定之后,RESETn 必须保持至少100ns,以便复位 芯片到已知状态。,数据通道 它包含缩放过滤器、GAMMA控制调整、数据消抖、R/G/B偏置、OSD发生器。作用是

48、对取样8Bit的 R/G/B数据信号进行 差补缩放处理、亮度、对比度、色温等调整,最终输出能被PANEL接受的最大解析度模式。,主板输入接口 主板提供一个15脚的连接器用于与PC连接,作为显示信号输入端口,如左下图,其各PIN定义参考右下表,39,三、源时钟(SCLK)生成电路方框图,40,源时钟恢复电路描述,GMZAN1(显示图形处理器)时钟恢复电路部分功能说明。1、源时钟(SCLK)生成电路 方 框图见(1-3)源时钟(SCLK)回路锁定于输入信号的行同步信号,经取样相位延迟和直接数字时钟合成器(DDS)跟踪调节 及模拟锁相(PLL)及(VCO)生成 源时钟(SCLK)信号,其信号在每一行

49、同步信号输入的上升沿产生反馈信号,源时 钟信号的频率范围是10-135MHZ。2、晶振时钟(TCLK)生成 晶振时钟是由U201振荡器直接生成50MHZ、TCLK输入GMZAN1的PIN141脚,生成RCLK作为参照时钟。3、参照时钟(RCLK)生成 参照时钟(RCLK)是由输入的TCLK经过模拟锁相(PLL)及(VCO)和比例因子生成RCLK,作为取样时钟(SCLK)的参照时钟。4、PANEL时钟信号即(PCLK)是由PANEL数据的每周期的单像素频率的定时时钟信号,实际PCLK在一个时钟 周内可以显示PANEL双像素,在其时钟与源时钟不同的情况下,PANEL时钟是目的时钟(DCLK)生成。

50、5、目的时钟(DCLK)_生成(1)目的时钟(DCLK)是由RCLK经过DDS/PLL及源水平总程分频生成目的时钟(DCLK)、周期等于单像素/时 钟周期模式下的PCLK。(2)、当PANEL的时钟信号和源时钟(或一半)不同时,一个像素时钟用来驱动PANEL、其时钟是由PLL分频 中的M和N值确定,M和N值是由软件通过寄存器计算得来的。,41,四、数据通道方框图,混合,PANEL DATA 抑制、抖动,混合、PANEL接口,灰度调整GAMA校正消除抖动,R G B偏置,缩放过滤器,混合,8bit采样数据,10bit,8/6bit,TO Panel data,8bit/6Bit,背景颜色,内部O

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号