实验1QUARTUSII软件及状态机设计.ppt

上传人:牧羊曲112 文档编号:5961256 上传时间:2023-09-08 格式:PPT 页数:24 大小:290.61KB
返回 下载 相关 举报
实验1QUARTUSII软件及状态机设计.ppt_第1页
第1页 / 共24页
实验1QUARTUSII软件及状态机设计.ppt_第2页
第2页 / 共24页
实验1QUARTUSII软件及状态机设计.ppt_第3页
第3页 / 共24页
实验1QUARTUSII软件及状态机设计.ppt_第4页
第4页 / 共24页
实验1QUARTUSII软件及状态机设计.ppt_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《实验1QUARTUSII软件及状态机设计.ppt》由会员分享,可在线阅读,更多相关《实验1QUARTUSII软件及状态机设计.ppt(24页珍藏版)》请在三一办公上搜索。

1、实验1 QUARTUSII软件及状态机设计,实验目的:学习FPGA设计软件教学基本要求:掌握软件流程,掌握状态机编程实验内容提要:设计一个状态机,状态机设计是数字电路中使用非常广泛和方便的时序设计工具。由于硬件是并行的触发,相对软件是串行执行,那么让硬件电路按照节拍执行串行操作指令就成为一个问题,这就是状态机的主要功能。相应的,软件指令中的几十条简单顺序执行代码可能需要硬件的几十上百个触发器去实现其功能。所以,软件与硬件的设计思路有相当大的区别。当然,随着FPGA规模的不断扩大,这些问题也越来越容易解决了。我们可以用软件的思路去描述自己的设计,可能最终实现的电路是几十万门级的器件,但是你只要花

2、费几美元就能买到。状态机是数字电路的基础,因此,FPGA和VHDL语言的学习也从这个实验开始。,四个环节:,环节1 FPGA课件学习 40分钟参见数字系统设计与FPGA专题实验环节2 VHDL语言学习 20分钟打开lab/lab1/sync.vhd环节3 sync实验;30分钟lab/lab1/sync.vhd环节4 红绿灯实验;150分钟lab/lab1/states,环节1 FPGA课件学习 40分钟参见数字系统设计与FPGA专题实验,环节2 VHDL语言学习 20分钟打开lab/lab1/step1/sync.vhd,环节2 VHDL语言学习 20分钟,VHDL语言结构库文件芯片输入输出

3、定义(也可能是电路模块)内部功能描述内部功能描述分两部分 信号声明 布尔等式,process内部的按照逻辑条件互斥书写,有两类1,if(逻辑条件成立)then elsif(逻辑条件成立)then else(该行根据情况可以没有,表示保持)end if;2,case Q is when Q0=when Q1=when others=(此处最好填回初始态)end case;,组合逻辑,Not and or A=b and c;等效于A=b when c=1 else 0;=赋值符,右边赋值给左边。,Process 内部的寄存器赋值,Count=count+1;表示Countn+1=count+1;

4、等式左边是次态,右边是现态和输入,D触发器,process(clk)if clkevent and clk=1 thenq=d;end if;end process;q 次态;d 激励,带异步复位的D触发器,process(rst,clk)if rst=0 thenq=0;elsif clkevent and clk=1 thenq=d;end if;end process;rst 异步复位;q 次态;d 激励,计数器,if rst=0 thenq 0);(所有比特位置0)elsif clkevent and clk=1 thenq=q+1;(左边的q是次态,右边的q是现态)end if;,模

5、60计数器,if rst=0 thenq 0);elsif rising_edge(clk)then(注意这种描述方式)if q=59 thenq 0);elseq=q+1;end if;end if;,process内部顺序,process(rst,clk)if rst=0 thenq=0;elsif clkevent and clk=1 thenq=d;if a=1 thenq=b;elseq=q;end if;end if;end process;你觉得上面这段代码中,q 到底在怎样变化?,process内部顺序,process(rst,clk)if rst=0 thenq=0;elsi

6、f clkevent and clk=1 thenif a=1 thenq=b;elseq=q;end if;q=d;end if;end process;本页中,q 到底在怎样变化?,环节3 sync实验;30分钟,lab/lab1/step1/sync.vhd画出你理解的这个电路的原理图,环节3 sync实验,Out1 out2 out3 out4有什么区别?这个电路完成了什么功能?通过仿真观察。,环节4 红绿灯实验;150分钟,lab/lab1/step2/states.vhd,一个十字路口交通灯控制系统,设:东西道(EW)为主道,南北道(NS)为副道。若 EW及NS均有车,则 EW每次

7、通行 60秒(绿灯),NS每次通行40秒(绿灯),EW、NS轮流放行;若 仅有一个通道有车,则禁止无车通道(红灯);若 两通道均无车,则NS禁止,EW 放行;若 通道转换时,两通道均需停车3秒(黄灯)。,十字路口交通灯控制器逻辑框图,状态机,在数字系统中,控制单元主要由状态机实现。一个状态机就是一组触发器状态机根据系统当前所处的不同状态,对输入和激励指向不同的次态。SS就是这样一个状态机,对应于上页PPT图纸的Q1,Q0两个D触发器。信息处理单元根据状态机的现态和输入,决定信息处理的算法。比如在东西通行的时候,60秒计数器才会计数。,环节4 红绿灯实验,1,仿真时,应该按照什么顺序进行?2,为什么状态转换的时间有问题?3,有几种解决方法?4,不同的方法各自的特点是什么?你认为哪种方法好?,最少几个触发器可以实现,计数器能不能共同使用一个?请设计。,实验报告要求,下次做实验,交上次实验报告(一页纸)标明学号,姓名,班级,上机时间,机位1,回答实验课件中提出的问题。2,画出你理想的红绿灯的电路系统框图,越细化越好。,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号