编码器与译码器与译码器.ppt

上传人:牧羊曲112 文档编号:6373978 上传时间:2023-10-21 格式:PPT 页数:127 大小:1.40MB
返回 下载 相关 举报
编码器与译码器与译码器.ppt_第1页
第1页 / 共127页
编码器与译码器与译码器.ppt_第2页
第2页 / 共127页
编码器与译码器与译码器.ppt_第3页
第3页 / 共127页
编码器与译码器与译码器.ppt_第4页
第4页 / 共127页
编码器与译码器与译码器.ppt_第5页
第5页 / 共127页
点击查看更多>>
资源描述

《编码器与译码器与译码器.ppt》由会员分享,可在线阅读,更多相关《编码器与译码器与译码器.ppt(127页珍藏版)》请在三一办公上搜索。

1、第 4 章 编码器与译码器 实训4 编/译码计数码显示 41 编码与译码 42 编码器与译码器 43 编/译码器的应用 44 常用编码器与译码器IC简介 本章小结 习题4 返回主目录,第 4 章编码器与译码器,编码器、译码器和数码显示器是数字系统中的常用器件。本章通过实训,对编码器、译码器和数码管的功能与一般应用有一个定性的认识,然后介绍编/译码的概念,编/译码器电路的基本原理,及不同类型的编码器、译码器和显示器的基本功能和它们在数字系统中的应用。最后介绍一些常用的编码器、译码器产品。,实训4编/译码及数码显示,1)了解编码器、译码器和数码管的逻辑功能。(2)熟悉74LS147、74LS48和

2、数码管各管脚的功能。(3)进一步掌握数字电路逻辑关系的检测方法。2.实训设备与器件 实训设备:逻辑试电笔、示波器、直流稳压电源、集成电路测试仪。实训器件、实验电路板、训3所调试好的抢答器实验板、二-十进制编码器74LS147、字符译码器74LS48、共阴极数码管、非门74LS04各一块。3.实训电路 实训电路如图4.1所示。,图 4.1 编/译码及数码显示实训电路图,4.实训步骤与要求 1)预习 查集成电路手册,初步了解74LS147、74LS48和数码管的功能,确定74LS147和74LS48的管脚排列,了解各管脚的功能。2)连接电路 用集成电路测试仪测试所用集成块,确认完好后,按实训电路图

3、在实验板上安装好实训电路。将实训3中 4 人抢答器的 4 个指示信号按实训电路所示接到编码器74LS147的I1、I2、I3、I4输入端(即11、12、13、1 脚)。检查电路连接,确认无误后再接电源。,3)电路功能显示 接通电源,分别触按 4 个抢答器的抢答键,如果电路工作正常,数码管将分别显示抢答成功者的号码。如果没有显示或显示的不是抢答成功者的号码,说明电路有故障,应予以排除。4)电路逻辑关系检测(1)用逻辑试电笔(或示波器)测试抢答器输入到编码器74LS147的I1、I2、I3、I4输入端的 4个信号,其中一个信号应是低电平,并观察该低电平信号与数码管显示的数字有什么关系。,(2)当4

4、个输入信号I1、I2、I3、I4分别为低电平时,用逻辑试电笔(或示波器)测试74LS147的 4 个输出端A、B、C、D的电平并记录于表4.1中。表中“1”表示高电平,“0”表示低电平。(3)用同样的方法测试译码器74LS48的 7 个输出端ag的电平并记录于表4.1中。观察数码管 7 个输入端ag 电平的高低与数码管相应各段的亮灭有什么关系。,表 4.1,5)74LS147功能试验(1)编码功能。给一块74LS147接通电源和地,在74LS147的9个输入端加上输入信号(按表4.2所示,依次给I1I9加信号),用逻辑试电笔或示波器测试D、C、B、A 4个输出端的电平,将测试结果填入表4.2中

5、。如果操作准确,对应每一个低电平输入信号,在编码器输出端D、C、B、A将得到一组对应的二进制编码。分析测试结果可知,编码器输出端D、C、B、A以反码输出,D为最高位,A为最低位。每组 4 位二进制代码表示1位十进制数。低电平输入信号为有效信号。若无有效信号输入,即9个输入信号全为“1”,代表输入的十进制数是0,则输出DCBA=1111(0的反码)。,表42,(2)优先编码。如果74LS147同时有两个或两个以上的输入信号为低电平,将输出哪一个信号的编码呢?请按表4.3的输入方式,测试相应的输出编码。表中的“”既可以表示低电平,也可以表示高电平。如果测试准确,可以看出,编码器对级别高的信号进行编

6、码;I9状态信号的级别最高,I1状态信号的级别最低。这就是优先编码功能,因此,74LS147是一个优先编码器。,表 43,6)数码管功能测试 将共阴极数码管的公共电极接地,分别给ag 7个输入端分别加上高电平,观察数码管的发亮情况,并记录输入信号与发亮显示段的对应关系。最后给7个输入端都加上高电平,观察数码管的发亮情况。7)74LS48功能试验(1)译码功能。将、BI/RBO端接高电平,输入十进制数09中的任意一组8421码(原码),则输出端ag将得到一组相应的7位二进制代码。如果将这组代码输入到数码管,就可以显示出该十进制数。,(2)试灯功能。给试灯输入端 加低电平,而BI/RBO端加高电平

7、时,则输出端ag均为高电平。若将其输入到数码管,则所有的显示段都发亮。此功能可用于检查数码管的好坏。(3)灭灯功能。将低电平加于灭灯输入端BI时,不管其他输入为什么电平,所有输出端都为低电平。将这样的输出信号加至数码管,数码管将不发亮。,(4)动态灭灯功能。端为灭零输入端。其作用是把数码管显示的数字0熄。当 且DCBA=0000时,ag的输出均为低电平,数码管无任何显示。利用该灭零端,可熄灭不需要显示的多个零。5.实训分析(1)从步骤3)的试验可以看出,该实训电路的功能就是在数码管上显示出4位抢答者的号码。在该实验中我们只有 4 个输入信号,如果有 10 个输入信号,则数码管可以显示09十个数

8、字。(2)分析步骤4)中第(1)步的测试结果可知,无论哪个输入信号为低电平,数码管将显示该输入端号码。如果所有的输出信号都为高电平,则数码管将显示数字0。,(3)表4.的数据表明74LS147是将一个输入信号编成了一组相应的二进制代码,因此称其为编码器。()观察步骤4)中第(3)步的结果发现,ag 7个信号中哪个信号为高电平,数码管与之相应的那一段就会发亮。在74LS48输入端输入不同的二进制代码时 a g的输出也不同,数码管将显示不同的数字。ag 端的信号电平是按照输入代码对字型的要求输出的,因此称74LS48为字符译码器。,4.1编 码 与 译 码,1.编码与译码的概念 广义上讲编码就是用

9、文字、数码或者符号表示特定的对象例如,为街道命名、给学生编学号、写莫尔斯电码等,都是编码。但本章所讨论的编码是指以二进制码来表示给定的数字、字符或信息。二进制编码由于电路上容易实现,因此是目前在数字领域中使用最多的一类编码。我们在实训 4 中采用的编码就是二进制编码。,一位二进制代码叫做一个码元,它有0、1两种状态。N个码元可以有n种不同的组合。每种组合称为一个码字。用不同码字表示各种各样的信息,就是二进制编码。译码是编码的逆过程。在编码时,所使用的每一个码字都表示一个确定的信号或者对象,把这些码字翻译成原来的信息,就是译码。,2.常用编码及特点 信息的种类多种多样,用途各异,故其编码方式也不

10、同。下面介绍几种常用的编码及其特1)二进制编码(1)自然二进制编码。这种编码是按数的自然顺序进行编码的二进制码。n位自然二进制码各位的权值分别为2n-1,2n-2,21,20。每个码字代表一个信息,共有2n个信息。4位自然二进制码如表4.4所示。,表 4.4 位二进制码,(2)循环码。循环码又称格雷码(Gray)。这种编码没有固定的权值,并且任意两个相邻码字之间只有1位码元不同。有人把这种特性称为单位间距特性。具有这种特性的编码称为单位间距码。这类编码从编码形式上可以杜绝瞬间状态的模糊现象,避免某些逻辑差错或者噪声。从表4.4中可见,循环码中的每一位代码从上到下的排列顺序是以固定周期进行循环的

11、。其中右起第一位的循环周期是“0110”,第二位是“00111100”,第三位是,4位循环码以最高位0与1之间位轴对折,除反射位外,其它3位均互为镜像,故有时也称为反射码。从自然二进制码可得到循环码。其转换规则是,循环码的第n位Gn是自然二进制码的第n位Bn与第(n+1)位Bn+1异或的结果,即Gn=Bn Bn+1,2)二十进制编码 数字设备多采用二进制,而日常生活中人们多采用十进制,这就要求对这两种进制进行转换。4位二进制数有16种取值组合。从16种组合中取出10种表示十进制数09的编码,就叫BCD码(Binary Coded Decimal)。不同的挑选方法(共C106种)可组成不同的码组

12、,在此仅介绍几种常用码组。,BCD码分为有权码和无权码两种。所谓有权码是指每位 有固定的权值,而无权码的每位没有固定的权值。表4.5列出的BCD码中,8421BCD码、2421BCD码、5421BCD是有权码,而余3码、余3循环码、右移码是无权码。(1)8421BCD码用4位二进制数表示1位十进制数,这4位二进制数的权分别为8、4、2、1。(2)2421BCD码的4位二进制数的权分别是2、4、2、1,这种BCD码的编码方案不是惟一的,表4.5中列出了其中两种。,(3)5421BCD码的4位二进制数的权分别是5、4、2、1,这种BCD码的编码方案也不是惟一的,表4.5中也列出了其中两种。(4)余

13、3码为无权码,它是在8421BCD码的基础上加二进制数0011(十进制数3)而得到的。(5)余3循环码由循环码加3而成。(6)右移码的构成方法是,令十进制数0的右移码为00000,而其他数的右移码的右边 4 位由前一码组右移一位得到,而最左一位则是前一码组最右一位取反的结果。余3循环码、右移码和循环码一样,都具有单位间距特性。,表 4.5 常用BCD码,3)字符编码 实际上通行两种字符编码,一种是ASCII码,一种是ISO码。(1)ASCII码是美国标准信息交换码,常用于数字通信设备。它由8位(b8 b1)二进制编码组成。第8位为奇偶校验位。若是偶校验,就选择第8位码的取值,使b8 b1中1的

14、个数为偶数个。若是奇校验就使b8 b1中1的个数为奇数个。b7 b1有27=128种码字,其中有52个大、小写英文字母,34个控制符,0 9十个数字,32个标点符号及运算符。其编码表如表4.6所示表4.6中控制字符的含义如表 4.7 所示。,表 4.6ASCII码,续表二,表 4.7 ASCII编码字符的含义,续表(2),(2)ISO码是国际标准化组织编制的一组8位二进制代码,多用于信息传输和专用的数控设备。其中第8位仍为奇偶校验位。128种码字中,只用其中58个码字,包括26个英文字母、10个数字、12个符号、8个控制符号,其编码如表4.8所示。3.如何选择编码 不同形式的编码对构成编码电路

15、的难易程度,传输过程中的误码率及纠错、检错能力都有影响。当要求信号传输或处理的错误概率小时,则应选用具有单位间距特性的编码。因为这类编码各相邻码组之间只有一位码不同,因此在连续传输、译码时可靠性高,能消除译码噪声引起的逻辑错误。,表 4.8 ISO码,续表(2),一般数字设备多采用8421BCD码。因而应尽量采用8421BCD码,以免增加码制转换电路。在处理信号时,常常需要对信号进行左、右移位。对这类信号应尽量采用移位编码,以简化电路。纠错编码是为了提高通信的可靠性而发展起来的,目前也广泛用于计算机系统。为了对付信道干扰,保证数据传输的可靠性,在发端按一定的规则往待传输的数据中加入一些多余但又

16、能检验的数据位(冗余位),使传输数据满足一定的规律,以便于接收端检错和纠错。奇偶校验码就是一种最简单的纠错编码。,在通信中要传输、交换信息,就会用到ASCII码或ISO码,为了检错,要附加一位奇偶检验位。在通信系统和计算机中,通常采用的纠错编码有汉明码和循环码等。此处的循环码不是表4.4中的循环码,它的编码规则要复杂得多。另外,数据加密实质上也是编码的问题。总之,采用什么形式的编码,需要根据编码的设备量,错码的概率,纠错、检错能力,数据加密等方面综合考虑。,42 编码器与译码器,421 常用编码器 422 常用译码器,4.2编码器与译码器,4.2.1常用编码器 1.编码器概述 编码器就是实现编

17、码操作的电路。编码器的表示方法通常有功能表(真值表)、逻辑图、逻辑表达式和波形图等几种。这些表示方法可以互相转换。编码器的模型如图4.2(a)所示。通常编码器有m个输入端(I0Im-1),需要编码的信号从此处输入;有n个出端(Y0Yn-1),编码后的二进制信号从此处输出。m与n之间满足m2n的关系。另外,编码器还有使能输入端EI,它用于控制编码器是否进行编码;使能输出端EO和优先标志输出端CS等一些控制端,它们主要用于编码器间的级联。编码器的功能就是从m个输入信号中选中一个并编成一组二进制代码并行输出。,图 4.2 编码器模型和4-2线编码器,在实训4中,要将4个抢答器的输出信号编为二进制代码

18、。这里我们不用74LS147,而另行设计一个简单的编码电路来实现此功能。要求是将4位抢答者的抢答信号(假设为A3A2A1A0),编成二进制代码。根据m2n=4的原则,输出是一n=2的二进制代码,用F1F0表示。根据设计要求,可列出如表4.9所示的真值表。由于输入变量互相排斥,即抢答器在任何时刻,只能有一个输入变量为1。根据这一特殊约束条件,只要将输出函数值为1时的输入变量直接加起来就可得到F1、F0的表达式:,表4 9 编码表,F1=A3+A2 F0=A3+A1 用或门实现该编码器的示意图如图4.2(b)所示。由于该编码器有4个输入端,2个输出端,故称为4-2线编码器。在数字设备中,任何数据和

19、信息都是用代码来表示的。所用的编码不同,实现这些编码的电路也不同,故编码器又可分为二进制编码器、二十进制编码器和字符编码器等。图4.2所示编码器的输入信号是互相排斥的,即任意时刻只允许一个输入信号有效,输出只对这个信号进行编码。,还有另一类编码器,在同一时刻允许多个有效信号输入,输出只对优先级别最高的信号进行编码,这一类编码器称为优先编码器。目前常用的中规模集成电路编码器都是优先编码器,它们使用起来非常方便。故下面讨论的二进制编码器和二十进制编码器都是优先编码器。编码器可由分立元件、门电路构成,也可做成集成电路。由于集成电路编码器种类齐全,实现各种编码比较方便、可靠,实际应用中应尽量采用集成电

20、路编码器。因此,集成电路编码器是本书介绍的重点。,2.二进制编码器 用n位二进制代码对2n个信号进行编码的电路就是二进制编码器。下面以74LS148集成电路编码器为例,介绍二进制编码器。74LS148是8-3线优先编码器,常用于优先中断系统和键盘编码。它有8个输入信号,3位输出信号。由于是优先编码器,故允许多个输入信号同时有效,但只对其中优先级别最高的有效输入信号编码,而对级别较低的不响应。其功能表如表4.10所示。表 4.1074LS148编码器功能表,表410 74LS148编码器功能表,I7I0为低电平有效的状态信号输入端,其中I7状态信号的优先级别最高,I0状态信号的优先级别最低。、为

21、编码输出端,以反码输出,C为最高位,为最低位。为使能输入端。当=1时,无论输入信号 I7I 0是什么,输出都是1;=0时,、根据输入信号I7I0的优先级别编码。例如,表4.10中第3行,输入信号I7为有效的低电平,则无论其他输入信号为低电平还是高电平,输出的BCD码均为000。为使能输出端,主要用于级联和扩展。用于标记输入信号是否有效。只要有一个输入信号为有效的低电平,变成低电平,它也用于编码器的级联。,74LS148编码器的引脚图及逻辑符号如图4.3所示。74LS148的应用非常灵活,可以用两片74LS148扩展为16-4线优先编码器,还可以用一片74LS148实现10-4优先编码器等。这部

22、分内容作为习题请读者自行解决。3.二十进制编码器 将十进制数09编成二进制代码的电路就是二十进制编码器。下面以实训4中采用的74LS147二十进制(8421)优先编码器为例加以介绍。,图 4.3 74LS148引脚图和逻辑符号,74LS147编码器的功能表如表4.11所示。由该表可见,编码器有9个输入端(I1I9)和4个输出端(A、B、C、D)。其中I9状态信号级别最高,I1状态信号的级别最低。DCBA为编码输出端,以反码输出,D为最高位,A为最低位。一组 4 位二进制代码表示一位十进制数。有效输入信号为低电平。若无有效信号输入即9个输入信号全为“1”,代表输入的十进制数是0,则输出DCBA=

23、1111(0的反码)。若I1I9为有效信号输入,则根据输入信号的优先级别输出级别最高信号的编码。,411 74LS147优先编码其功能表,74LS147编码器的引脚图及逻辑符号如图4.4、4.5所示。,图 4.4 74LS147引脚图,图 4.5 74LS147逻辑符号,4.字符编码器 字符编码器的种类很多,用途不同,其电路形式各异,是一种用途十分广泛的编码器。例如,计算机键盘,内部就有一个采用ASCII码的字符编码器。它将键盘上的大、小写英文字母,数字,符号及一些功能键等编成一系列的7位二进制代码,送到计算机的CPU进行数字处理后,再输出到显示器或打印机等输出设备上;,计算机的显示器和打印机

24、也都使用专用的字符编码器。显示器把每个要显示的字符分成m行,每行又分成n列,每行用一组n位二进制数来表示。因此每一个字符变成mn的二进制阵列。显示时,只要按行将某字符的行二进制编码送到屏幕上,经过m行后,一个完整的字符就显示在屏幕上。这些字符的编码都存储在ROM中。,4.2.2常用译码器 1.译码器概述 实现译码功能的电路称作译码器,译码器的用处很多。在数字系统中,处理的是二进制代码,而人们习惯于用十进制,故常常需要将二进制代码翻译成十进制数字或字符,并直接显示出来。这一类译码器在各种数字仪表中广泛使用。在计算机中普遍使用的地址译码器、指令译码器,在数字通信设备中广泛使用的多路分配器、规则码发

25、生器等也都是由译码器构成的根据译码信号的特点可把译码器分为二进制译码器、二十进制译码器字符显示译码器等。,译码器的表示方法通常有功能表(真值表)、逻辑图、逻辑表达式和波形图等几种。这些表示方法可以互相转换。译码器的模型如图4.6(a)所示,它有n个输入端,需要译码的n位二进制代码从这里并行输入;有m个译码输出端,另外还有若干个使能控制端Ex,用于控制译码器的工作状态和译码器间的级联。译码器的功能是将n位并行输入的二进制代码,根据译码要求,选择m个输出中的一个或几个输出译码信息。,图 4.6 译码器示意图,作为例子,我们来看一个简单的两位二进制代码的译码器,其逻辑图如图4.6(b)所示。该译码器

26、的输入是一组两位二进制代码AB,输出是与代码状态相对应的4个信号Y3Y2Y1Y0。将各种输入信号的取值组合送入译码器,可得到相应的输出信号。其真值表如表4.12所示。由该表可知,每一组输入代码,对应着一个确定的输出信号。译码器可以由分立元件、门电路或者集成电路构成。实际应用中最常用的是集成电路译码器,故是本节讨论的重点。,表 4.12 译码器的真值表,2.二进制译码器 二进制译码器是把二进制代码的所有组合状态都翻译出来的电路。如果输入信号有n位二进制代码,输出信号为m个,则m=2n。下面以常用的74LS138为例讨论二进制译码器。该译码器有3个输入端C、B、A和8个输出端Y0Y7,故称为3-8

27、线译码器,其功能表如表4.13所示。、E3都是使能信号,当E3=“0”时,无论其他输入信号是什么,输出都是高电平,即无效信号。“1”,+=“0”为高电平时,输出信号Y0Y7才取决于输入信号C、B、A的组合。输出信号Y0Y7为低电平有效。,表 4.13 74LS138译码器功能表,除了3-8线二进制译码器外,常用的还有2-4线二进制译码器,4-16线二进制译码器等。也可以用两片3-8线译码器构成4-16线译码器,或者用两片4-16线译码器构成5-32线二进制译码器。例如,用两片3-8线译码器74LS138构成4-16线译码器,其具体连接如图4.7所示。4位输入变量A3A2A1A0的最高位A3接到

28、U1的、和U2的E3,其他3位输入变量A2A1A0分别接两块74LS138的变量输入端C、B、A。,图 4.7 两片74LS138扩展成416线译码器,电路中,当A3=0时,U2被禁止,U1工作,由A2、A1、A0决定Y0Y7的状态;当A3=1时,U1被禁止,U2工作,由A2、A1、A0决定Y8Y15的状态,因此,U1、U2构成了416线译码器。3.二十进制译码器 将4位二十进制代码翻译成1位十进制数字的电路就是二十进制译码器,又称为BCD十进制译码器。表4.14是74LS42 BCD十进制译码器功能表。,由此表可见,该译码器有4个输入端A3A2A1A0,并且按8421BCD编码输入数据;有1

29、0个输出端Y9Y0,分别与十进制数09相对应,低电平有效。对于某个8421BCD码的输入,相应的输出端为低电平,其他输出端为高电平。当输入的二进制数超过BCD码时,所有输出端都输出高电平的无效状态。,表 4.14 74LS42译码器功能表,续表(2),图 4.8 74LS42逻辑符号,通常也可用4-16线译码器实现二十进制译码器,例如,可以用74154实现二十进制译码器。果采用8421BCD编码表示十进制数,译码时只需取74154的前10个输出信号就可表示十进制数09;如果采用余3码,译码器需输出312;如果采用其他形式的BCD码,可根据需要选择输出信号。.字符显示译码器 字符显示译码器的功能

30、是将输入的BCD码经过译码后,使输出显示相应的十进制数。例如在实训4中采用的74LS48就是与共阴极数码管配合使用的一种字符显示译码器。,图49 七段显示器和74LS47的逻辑符号,目前常用的数码显示器件有发光二极管(LED)组成的七段显示数码管和液晶(LCD)七段显示器等。它们一般由a、b、c、d、e、f、g七段发光段组成。根据需要,让其中的某些段发光,即可显示数字09,如图4.9(a)所示。1)七段显示译码器 配合各种七段显示器有许多专用的七段译码器,除了74LS48外,74LS47也是常用的有多种功能的显示译码器,图4.9(b)是它的逻辑符号。图中D、C、B、A为8421BCD码输入端,

31、a、b、c、d、e、f、g输出驱动七段显示器的信号。它与实训4中所的74LS48的不同之处是,它所驱动的是共阳极数码管,输出的有效电平是低电平。74LS47功能表如表4.15所示。,表 4.15 74LS47功能表,续表(2),端为测试灯输入端,=0且BI=1时,ag输出均 匀为0,显示器七段都亮,用于测试每段工作是否正常=1时,译码器方可进行译码显示。BI/RBO端为熄灭输入/灭零输出端。利用熄灭信号BI可按照需要控制数码管显示或不显示。当BI=0时,无论DCBA状态如何,数码管均不显示。BI与RBO共用一个引出端。当=0且DCBA=0000时RBO=0。端为灭零输入端,其作用是将数码管显示

32、的数字0熄灭。当=0且DCBA=0000时,ag输出1,数码管无显示。,利用该灭零输出信号,可熄灭多位显示中不需要的零。不需要灭零时,=1。例如,一个4位数字显示器,要将显示的0027的高位两个0熄灭,而显示成27时,可按图4.10进行连接。图中用4块74LS47,将第一块的 接地,即=0,因这块的输入为0000,所以本位的十进制数字0不显示,并且本位的RBO=0。将其连接到第二块的 端,又因第二块的输入也是0000,所以第二块的十进制数0也不显示。,2)译码器和显示器的用法 数字电路处理的信息都是以二进制代码表示的,而显示器显示的是文字、符号等信息,所以译码器和显示器总是结合起来使用的。(1

33、)LED显示电路。七段数码管可以是共阴极结构,也可以是共阳极结构。图4.11(a)为共阴极连接方式,图4.11(b)为共阳极连接方式。由图可见,若显示器为共阴极连接,则对应阳极接高电平的字段发光;而显示器为共阳极连接时,则对应阴极接低电平的字段发光。,图 4.10 4 位数字显示,图 4.11 发光二极管的两种连接,图4.12是LED七段显示器和译码驱动电路的连接实例。图中LED七段显示器的驱动电路是由74LS47译码器、1 k的双列直插限流电阻排、七段共阳极LED显示器组成的。由于74LS47是集电极开路输出(OC门),驱动七段显示器时需要外加限流电阻。其工作过程是:输入的8421BCD码经

34、译码器译码,产生7个低电平有效的输出信号,这7个输出信号通过限流电阻分别接至七段共阳极显示器对应的7个段;当LED七段显示器的7个输入端有一个或几个为低电平时,与其对应的字段点亮。,图 4.12 LED 七段显示器译码驱动电路逻辑图,(2)LCD显示电路。液晶显示器是目前功耗最低的一种显示器,特别适合于袖珍显示器、低功耗便携式计算机和仪器仪表等应用场合。液晶显示器的驱动方式有静态驱动、多路驱动、矩阵驱动和双频驱动等多种方式。所谓静态驱动,是指每位字符正面的每一段都有一根驱动信号引线,每位字符位背面的电极被连成一体,形成公共电极。工作时所有需要显示的段,从开始显示的时刻起,直到终止显示的时刻为止

35、,该段始终独立地加有驱动信号电压。液晶长时间处在直流电压作用下会发生电分解现象,性能将退化。,为了防止老化,液晶显示器总是用交流驱动。所谓交流驱动,是指信号电极上驱动电压的相位始终与公共电极上的电压反相,以此保持施加于液晶上的平均直流电压为零。图4.13是一位七段LCD显示器驱动电路的逻辑图。信号AG是七段译码器输出的每段信号电平。显示驱动信号Dfi一般为50100 Hz(数字钟、数字表往往是32 Hz或64 Hz)的脉冲信号。该信号同时加到液晶显示器的公共电极。在译码器内部异或门的作用下,送到液晶显示器信号电极上的驱动信号ag是信号 Dfi分别与段信号AG的异或信号。显示字段上所加的电压峰峰

36、值为电源电压的两倍。,图 4.13 一位七段LCD显示器驱动电路的逻辑图,由图可见,送到液晶显示器某段上的驱动信号为脉冲信号。因此液晶显示段的发亮是脉冲式的。由于此脉冲频率较快,视觉上感到它一直发亮,这是LCD的特点。,43 编/译码器的应用,431 编码器的应用 432 译码器的应用,4.3.1编码器的应用 1.微控制器报警编码电路 图4.14所示为利用74LS148编码器监视8个化学罐液面的报警编码电路。若8个化学罐中任何一个的液面超过预定高度时,其液面检测传感器便输出一个0电平到编码器的输入端。编码器输出3位二进制代码到微控制器。此时,微控制器仅需要3根输入线就可以监视8个独立的被测点。

37、,43 编/译码其应用实例,这里用的是Intel 8051微控制器,它有4个输入/输出接口。我们使用其中的一个口输入被编码的报警代码,并且利用中断输入 0接收报警信号(是编码器输入信号有效的标志输出,只要有一个输入信号为有效的低电平,就变成低电平)。当Intel 8051的 0端接收到一个0时,就运行报警处理程序并做相应的反应,完成报警。,图 4.14 74LS148微控制器报警编码电路,2.用编码器构成A/D转换器 图4.15为74LS148构成的A/D转换器。这个电路主要由比较器、寄存器和编码器3部分组成。输入信号UI(模拟电压),同时加到7个比较器的反相端。基准电源UR经串联电阻分压为8

38、级,量化单位 q=UR/7。各基准电压分别加到比较器的同相端。若UI大于基准电压时,Ci=0,否则Ci=1。7个比较器的基准电压依次为UR1=UR、UR2=UR、UR3=UR、UR4=UR、UR5=UR UR6=UR、UR7=UR。,寄存器是暂时存放数据或代码的逻辑功能部件,将在第6章中讨论。寄存器74LS373由8个D触发器构成。它的作用是寄存缓冲比较器输出的信号,以避免因比较器响应速度不一致而造成的逻辑错误。比较器的输出量保存一个时钟周期后,供编码使用。编码器根据寄存器提供的信号进行编码。编码可以反码输出,也可以原码输出。,例如,当UI=UR时,7个比较器输出为C1=C2=C3=0,C7=

39、C6=C5=C4=1。这7个信号就是7个D触发器的输入信号。在时钟信号CP上升沿的作用下,7个D触发器的输出信号为Q7=Q6=Q5=Q4=1,Q1=Q2=Q3=0,74LS148译器的输出CBA=100,经非门输出的原码为011。这样A/D转换器就把输入的模拟信号UI变成了3位数字信号。,7个D触发器的输出信号为Q7=Q6=Q5=Q4=1,Q1=Q2=Q3=0,74LS148译器的输出CBA=100,经非门输出的原码为011。这样A/D转换器就把输入的模拟信号UI变成了3位数字信号。通常R选用1 K,UR=5 V,CP的周期应大于手册给出的比较器、寄存器、编码器、非门平均传输延迟时间之和的2倍

40、,而脉冲宽度只要大于寄存器的平均传输延迟时间即可。该转换器的转换精度取决于电阻分压网络的精度。这种转换器适合于高速度、低精度的情况使用。,图 4.15A/D转换器,4.3.2译码器的应用 译码器的应用范围很广,除了能驱动显示器外,还能实现存储系统的地址译码和指令译码,实现逻辑函数,作多路分配器,以及控制灯光等等。下面介绍译码器的几种典型应用。,1.译码器作地址译码器 实现微机系统中存储器或输入/输出接口芯片的地址译码是译码器的一个典型用途。图4.16所示是将四输入变量译码器用于半导体只读存储器地址译码的一个实例。图中,译码器的输出用来控制存储器的片选端,该输出信号取决于高位地址码A5A8。A5

41、A8 4位地址有16个输出信号。利用这些输出信号可从16片存储器中选用一片,再由低位地址码A0A4从被选片中选中一个存储单元,读出选中单元的内容。,图 4.16 四输入变量译码器用于存储器的地址译码,2.用译码器构成数据分配器或时钟分配器 数据分配器也称为多路分配器,它可以按地址的要求将1路输入数据分配到多输出通道中某一特定输出通道去。由于译码器可以兼作分配器使用,厂家并不单独生产分配器组件,而是将译码器改接成分配器。下面举例说明。,将带使能端的38线译码器74LS138改作8路数据分配器的电路图如图4.17(a)所示。译码器的使能端作为分配器的数据输入端,码器的输入端作为分配器的地址码输入端

42、,译码器的输出端作为分配器的输出端。这样分配器就会根据所输入的地址码将输入数据分配到地址码所指定的输出通道。,例如,要将输入信号序列00100100分配到Y0通道输出,只要使地址码X2X1X0=000,输入信号从D端输入,Y0端即可得到和输入信号相同的信号序列。波形图如图4.(b)所示。此时,其余输出端均为高电平。若要将输入信号分配到Y1输出端,只要将地址码变为001即可。依此类推,只要改变地址码,就可以把输入信号分配到任何一个输出端输出。74LS138作分配器时,按图4.17(a)的接法可得到数据的原码输出。若将数据加到E3端,而 1、2 接地,则输出端得到数据的反码。,图 4.17 74L

43、S138改作8路分配器,在图4.17(a)中,如果D输入的是时钟脉冲,则可将该时钟脉冲分配到Y0Y7的某一个输出端,从而构成时钟脉冲分配器。,3.用译码器实现逻辑函数 由于全译码器在选通时,各输出函数为输入变量相应最小项之非,而任意逻辑函数总能表示成最小项之和的形式。因此,全译码器加一个与非门可实现逻辑函数。例 4.1用全译码器实现逻辑函数 F=解1)全译码器的输出为输入变量相应最小项之非,故先将逻辑函数式F写成最小项之反的形式。由德摩根定理得F=,(2)F有 3 个变量,因而选用三变量译码器。(3)将变量C、B、A分别接三变量译码器的C、B、A端,则上式变为 F=图4.18是用三变量译码器7

44、4LS138实现上述函数的逻辑图。,图 4.187 4LS138实现逻辑函数,4.译码器用于灯光控制 图4.19是用于娱乐场所或电子玩具中的滚环追逐电路。该电路能够产生正、反两方向循环、双向循环并有常态和闪烁两种方式。这个电路主要由定时器555、双16分频器74LS393及两片集电极开路的416线译码器74159构成。图中用555构成多谐振荡器,其输出为一串矩形脉冲。它的充电路径为:+5VR1R2C地,输出为高电平(R1=4.7 k,R2=10),图 4.19 滚环追逐电路,放电路径为CR2T(555内放电管)地,输出为低电平。电容C上的充放电,使得555连续翻转,产生矩形脉冲。其脉冲宽度T1

45、0.7(R1+R2)C,脉冲休止期T20.7R2C,周期T=T1+T2。调整C的值,可改变振荡器的输出频率。C1=0.01F用作旁路电容。555输出的脉冲信号,同时送到74LS393的两个计数脉冲CLK端,使它们同步工作。Q3Q0为16分频器的输出信号,它们以二进制方式记录CLK的脉冲数。计满16个脉冲,又回到起始状态,重新开始计数。MR接地时,正常计数;MR接高电平时,Q3Q0置0。,开关S1断开时,555产生一串矩形脉冲。S2有闪烁和常态两种状态。S2置常态时,相当于MR接地。S3置正向,74159(1)的两个使能端接地,片(1)工作;74159(2)的使能端悬空,片(2)不工作。发光二极

46、管按012150的顺序正向循环。S3置反向时,74159(1)不工作,74159(2)工作,发光二极管按1514015顺序反向循环发光。,S3置双向时,两片74159都工作,一路按正循环发光,一路按反循环发光。S2置闪烁时,S3与555输出的矩形脉冲相连。当脉冲是低电平时,74159工作,点亮发光二极管;当脉冲是高电平时,74159处于禁止状态,发光二极管熄灭。在脉冲一个周期内,发光二极管一亮一灭地闪烁,发光顺序仍由S3决定。开关S1闭合时,74159的输出信号维持不变,即发光二极管某一只或两只亮。,由于74159(1)的 0 线与(2)片的15线,(1)片的1线与(2)片的14线(1)片的1

47、5线与(2)片的0线接起来,使得同一时刻最多只有两只发光二极管同时点亮,因而只用一只限流电阻R3即可,R3=EC/2ID。其中EC=+5V,ID为发光二极管允许流过的电流,应小于74159允许灌入的电流。,该电路的电压、电流不能驱动舞台上的彩灯。加驱动电路后,可以提高其输出电压和电流,驱动颜色艳丽的节日灯。调节电容C可改变振荡器的振荡频率。若555输出的频率为60 Hz左右,可用于控制节日彩灯、商店橱窗广告等场合;若555输出的频率为800900 Hz,则可用于博彩游戏中。5.多路信号的分时传送 此项应用中将用到数据选择器和译码器,故先简要介绍一下数据选择器。,数据选择器的逻辑功能是按需要从n

48、个(一般为4、8、16个)输入信号中选择一个信号输出,也可以用作并行码输入、串行码输出的转换,还可以用作n线至1线的多路转换器。双4选1数据选择器74LS153的功能表如表4.16所示,其逻辑符号如图4.20(a)所示。该集成块包括两个相同的4选1数据选择器。从逻辑图可见(以下叙述中,省去区别A数据选择器和B数据选择器的下标),I0 3是数据输入端,S1、S0是选择输入端,S1为高位;Z是原码输出;是选通端,低电平有效。=1时,数据选择器不工作,=0时,输出函数表达式为,根据S1、S0的取值,决定I0 3中的一个输出。我们选择74LS139(双2-4线译码器)作为译码器,其逻辑符号如图4.20

49、(b)所示。B、A为代码输入端,Y0Y3为输出端,低电平有效,是使能端。图4.20(c)可用来分时传送 4 路信号。在发端,数据选择器作多路开关(MUX),分时将输入信号送入信息公共传输通道。在收端,译码器作数据分配器(DEMUX),分时将信息公共传输通道上的信号分配至各路。究竟传送哪一路信号,由公用地址A1A0决定。,表 4.16 74LS153功能表,图 4 20 4路信号分时传送,4.4常用编码与译码器IC简介,编码器和译码器集成电路(IC)产品很多,现将常见的编码器、译码器IC列于表4.17中。,表 4.17常用编码器和译码器,续表(2),本章小结,编码就是用二进制码来表示给定的数字、

50、字符或信息相反把二进制代码翻译成原来信息的过程,称为译码。由于编码方式很多,常用的编码有二进制编码、二十进制编码和字符编码,故实现这些编码和译码的电路编码器和译码器也有相应的二进制编/译码器、二十进制编/译码器和字符编/译码器。编码器通常有m个输入端和n个输出端,m与n之间m2n的关系。编码器的功能是从m个输入信号中选中一个并编成一组二进制代码并行输出。,译码器有n个输入端和m个输出端。译码器的功能是将n位并行输入的二进制代码,根据译码要求,选择m个输出中的一个或几个输出译码信息。编/译码器的功能表较为全面地反映了编/译码器的功能。要正确使用编码器和译码器,必须先看懂功能表。因此通过功能表了解

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号