VHDL主要描述语句――CASE语句.ppt

上传人:牧羊曲112 文档编号:6522919 上传时间:2023-11-08 格式:PPT 页数:8 大小:250.99KB
返回 下载 相关 举报
VHDL主要描述语句――CASE语句.ppt_第1页
第1页 / 共8页
VHDL主要描述语句――CASE语句.ppt_第2页
第2页 / 共8页
VHDL主要描述语句――CASE语句.ppt_第3页
第3页 / 共8页
VHDL主要描述语句――CASE语句.ppt_第4页
第4页 / 共8页
VHDL主要描述语句――CASE语句.ppt_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《VHDL主要描述语句――CASE语句.ppt》由会员分享,可在线阅读,更多相关《VHDL主要描述语句――CASE语句.ppt(8页珍藏版)》请在三一办公上搜索。

1、VHDL主要描述语句CASE语句,一、复习,1.IF语句的三种书写格式:,2.试修改如下程序中出现的错误并分析其可以实现的功能.,LIBRARY IEEE;USE IEEE.STD-LOGIC-1164.ALL;ENTITY 2AND IS PORT(A,B:IN STD-LOGIC;C:OUT STD-LOGIC);END 2AND;ARCHITECTURE A OF 2AND IS SIGNAL D:STD-LOGIC-VECTOR(2 DOWNTO 0);D=A,A B C,0 0,0 1,1 0,1 1,0,0,0,1,该程序可以实现二输入与门的功能,二、新授,在用VHDL语言进行逻辑

2、设计时,有些操作是根据某表达式的值来进行的,这时常常会用到CASE语句.,当CASE 和 IS 之间的表达式的取值满足指定的条件表达式时,程序将执行对应的由=所指的顺序处理语句.,三、例题(试分析如下程序可以实现什么功能),LIBRARY IEEE;USE IEEE.STD-LOGIC-1164.ALL;ENTITY 2AND IS PORT(A,B:IN STD-LOGIC;C:OUT STD-LOGIC);END 2AND;ARCHITECTURE A OF 2AND IS SIGNAL D:STD-LOGIC-VECTOR(2 DOWNTO 0);D C C C C NULL;END C

3、ASE;END A;,A B C,0 0,0 1,1 0,1 1,0,0,0,1,该程序可以实现二输入与门的功能.,对比总结:以上两个程序表明,一些功能相同的描述,可以用IF语句实现,也可以用 CASE语句实现.但是两者还是有区别的.首先,在IF语句中,先处理最起始 的条件,如果不满足才会处理下一个条件.而在CASE语句中,没有值的顺 序号,所有的值是并行处理的.因此WHEN项中已用过的值,如果在后面 WHEN项中再次使用,那在语法上错误的.也就是说,表达式的值不能重 复使用.如下列程序:CASE SEL IS WHEN 0=Q Q Q Q Q=I4;END CASE;,四、练习(用CASE

4、语句设计一个四选一数据选择器),数据选择器是经过选择,把多个通道的数据传送到唯一的公共数据通道上进行传输。它的作用相当于一个多输入的单刀多掷开关。,LIBRARY IEEE;USE IEEE.STD-LOGIC-1164.ALL;ENTITY 4SEL IS PORT(I0,I1,I2,I3:IN STD-LOGIC;A,B:IN STD-LOGIC;Q:OUT STD-LOGIC);END 4SEL;ARCHITECTURE A OF 4SEL IS SIGNAL D:STD-LOGIC-VECTOR(2 DOWNTO 0);D=A,五、思考题,请在上程序基础上加入一个使能端G。当G=0时,选择器不工作,不进行任何选择;只有G=1时,选择器才开始工作,按照信号选择控制端的要求选择信号进行传输。,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号