基于等面积法生成SPWM波形算法的研究.doc

上传人:仙人指路1688 文档编号:3940813 上传时间:2023-03-28 格式:DOC 页数:49 大小:758.50KB
返回 下载 相关 举报
基于等面积法生成SPWM波形算法的研究.doc_第1页
第1页 / 共49页
基于等面积法生成SPWM波形算法的研究.doc_第2页
第2页 / 共49页
基于等面积法生成SPWM波形算法的研究.doc_第3页
第3页 / 共49页
基于等面积法生成SPWM波形算法的研究.doc_第4页
第4页 / 共49页
基于等面积法生成SPWM波形算法的研究.doc_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《基于等面积法生成SPWM波形算法的研究.doc》由会员分享,可在线阅读,更多相关《基于等面积法生成SPWM波形算法的研究.doc(49页珍藏版)》请在三一办公上搜索。

1、基于等面积法生成SPWM波形的算法的研究摘要:SPWM波广泛应用于电力电子行业以及电动机调速和阀门控制。本文提出一种改进后的等面积法产生SPWM波形,它具有精度高,计算简单,误差小等特点,明显优于自然采样法和规则采样法。该系统采用三项逆变桥采用双极性控制,PWM驱动波形由89C52单片机查表发生成,IGBT的驱动电路采用IR2101芯片。对其输出波形进行分析,证明了该方法的可行性和合理性。经PROTUES仿真系统性能基本达到了设计要求。关键词:SPWM 等面积法 三项逆变桥 89C52 IR2101 PROTUESThe study of generating SPWM wave algori

2、thm that based on area equivalent principleAbstract: SPWM wave is widely used in the power electronics industry ,the Motor speed control and the Valve control.This study propoes a improved method of generating SPWM wave using the area equivalent principle.This method is high accuracy,easy to calcula

3、te and has low deviation.It is obviously better than natural sampled one or regular sampled one.This system use Three inverter bridge with bipolar control,PWM wave is generated by 89C51(SCM) using lookup method.The drive circuit of IGBT adopt IR2101 chip.It is proved that this method is feasible and

4、 reasonable after making harmonic analysis of its output waveform.The simulation reasults from PROTUES shows the systems requirements are reached.Keyword:SWPM,area equivalent principle, Three inverter bridge,89C51,IR2101,PROTUES目 录1 绪论11.1 课题背景及研究意义11.2 PWM波形算法和应用的发展现状11.2.1 现有主要的PWM算法11.2.2 PWM应用的背

5、景及现状31.3 课题的主要研究内容52 正弦脉宽调制(Sinusoida PWM)技术72.1等面积法的介绍72.2 现有几种等面积法的介绍与比较72.3 等面积法的设计研究72.3.1 等面积算法的原理72.3.2 等面积法的算法推导93 逆变与PWM调制技术123.1 逆变电源和逆变技术123.1.1 逆变的目的和优点123.1.2逆变的基本原理134 正弦脉宽调制的实现154.1单极性调制与双极性调制154.2双极性SPWM控制方式155 谐波分析205.1单相双极性SPWM波的谐波分析205.2三相逆变器输出SPWM 波的谐波分析226 软件设计266.1 软件设计概述266.2 单

6、片机编程266.3 程序流程图277 硬件设计及仿真287.1 AT89C52介绍287.2 IR2101芯片及IGBT驱动电路307.3 硬件仿真327.3.1 硬件仿真图327.3.2 仿真波形337.3.3 硬件系统调试347.3.4系统调试的心得体会348 总结35附录36附录1 主要程序36参考文献43致 谢441 绪论1.1 课题背景及研究意义电力电子技术作为一门新兴技术近些年得到了飞速的发展并逐渐在电力变换,高低压变频,工业控制等等领域得到广泛的应用。随着电压型逆变器在高性能电力电子装置,如交流传动、不间断电源和有源滤波器中的应用越来越广泛,PWM 控制技术作为这些系统的共用及核

7、心技术,引起人们的高度重视,并得到深入的研究。正弦脉宽调制技术即SPWM技术是在脉冲宽度调制技术即PWM技术(它是通过改变输出方波的占空比来改变等效的输出电压)的基础上改变了调制脉冲方式,脉冲宽度时间占空比按正弦规律排列,这样输出波形经过适当的滤波可以做到正弦波输出。常用于对控制波的波形和频率有较高要求的场所,如:对重要负载进行断电保护的交流不间断电源UPS(Uninterruptle Power Supply);针对交流异步电动机变频调速控制的变频调速器;针对智能楼宇消防与安防的应急电源 EPS ( Emergence Power Supply) ;针对船舶工业用电的岸电电源 SPS(Sho

8、re Power Supply) ;还有针对风力发电、太阳能发电等而开发的特种逆变电源等等。逆变电源是电力电子技术的主要应用领域之一,正是电力电子技术的飞速发展推动了电源技术的进步。而电力电子技术的发展是以电力电子器件的发展为标志的,从某种意义上说,变频技术的发展过程正是半导体开关器件发展过程的反映。近年来发展很快,其技术关键之一是采用了PWM方法。自80年代初以来,各国科技人员开发了多种PWM方法。归纳起来主要是:自然采样SPWM、载波调制SPWM、谐波注入式PWM、最优PWM和无差拍周期补偿PWM等。在众多的调制方法中,它们分别采用了面积法、图解法、计算法、采样法、优化法、斩波法、角度法、

9、跟踪法和次谐波法等原理对逆变器进行了有效的调制。1.2 PWM波形算法和应用的发展现状1.2.1 现有主要的PWM算法在SPWM波形生产的方法上主要是等面积法、谐波消去法和采样型SPWM法以及由此派生出的其它算法。 下面介绍一些被国内学界提出和研究过的一些等面积SPWM生产法以及一些其他的生产法进行参考。直接等面积法-直接在一个采样间隙内正弦波小面积与生成的SPWM波所对应脉冲面积相等原理产生SPWM波,其优点时计算量小,计算过程简单,采样点少和规则采样法相当便于计算机实现。缺点是计算精度不足。精确直接等面积法-基本控制算法同直接等面积法,不同的是在计算式考虑到期间开通和关断时的死区时间并利用

10、计算机等辅助手段更加精确的计算等效面积,这种方法较直接等面积法稍微复杂但在精度上有了很大的提升。改进的等面积法-直接等面积法是以采样周期的几何平均中心为矩形脉冲中心,从面积等效原理可知,由这些脉冲构成的正弦波存在一定误差一些学者提出一种改进的等面积法,即以正弦波每一等份的面积中心作为矩形脉冲的中心。该方法使变频器输出正弦波形的谐波分量更少。谐波消除法-由于PWM 逆变器的机理是基于调节脉冲宽度和间隔来实现输出接近于正弦渡的电流,在这种调节中产生了许多有害的谐波分量,从而使电机损耗加大,局部发热,井有可能产生严重的扭矩与振荡为此,人们在PWM 渡的基础上提出了许多改进方法。谐波消去法就是其中一种

11、,该法可以根据要求,消去任意频率的谐波。谐波消去法就是在PWM 方波预先确定的角度处产生槽口,以消去方渡内不希望有的高次谐波。其缺点是该种方法在线计算十分困难,人们常将计算结果离线算出,存入计算机内,但这样存储容量极大,而且控制精度也有所降低。对称规则采样法-该采样法的基本思想是使SPWM波的每个脉冲均以三角载波中心线为轴线对称因此在每个载波周期内只需一个采样点就可确定两个开关切换点的时刻。其优点是简化了计算公式并可根据脉宽计算公式实时计算出SPWM波的脉宽时间,实现数字化控制,但是由于形成的SPWM波与正弦波的逼近程度仍存在较大的误差。从而会造成一定的控制误差。不对称规则采样法-该采样法的基

12、本思想是同时在三角载波的顶点和底点对称轴位置进行采样。即在每个载波周期内采样两次。其优点是所形成的SPWM波与正弦波的逼近程度较对称规则采样有很大的提高,得到的SPWM脉宽较对称规则采样也更接近于自然采样,因此输出的波形比采用对称规则法的。1.2.2 PWM应用的背景及现状脉宽调制型变额器主要用在两类工业功率控制装置中:一是用于调速传动装置中,尤其广泛用于交流调速系统中。采用脉宽调制技术控制逆变器后,使交流拖动系统实现了高调速比的平滑无级调速,出现了交流调速传动系统与直流调速传动系统相媲美、相抗衡的时代,出现了前者取代后者的趋势。二是用于逆变电源中,特别是用在不间断电源UPS中,采用脉宽调制技

13、术控制逆变器后,为精密仪器、计算机系统等提供了一种高可靠性的稳频、稳压和正弦波输出的无瞬间停电电源。在目前,正弦脉宽调制技术(SPWM) 在逆变电源的设计中获得了广泛的应用,其特征是用微处理器生成SPWM 驱动信号,通常有查表和实时计算两种方法。查表法要通过三角载波和正弦调制波相比较来确定开关时刻,它是根据不同的调制度和调制信号的角频率先离线计算出个开关器件的通断时刻,把计算结果存于EPROM 中,运行时查表读出所需要的数据进行实时控制;实时计算法不进行离线计算,而是运行时在线计算所需的数据。上述两种方法因自身特点的不同,各自有具体的应用场合。电网停电时,它依靠装置内已充电的蓄电他供电,在装置

14、内进行直流一交流变换,继续向负载提供电能,不停电时间取决于装置内的电池容量和负载功率。上述两种工业功率控制装置,因其用途及负载性质不同,所以它们对采用脉宽调制技术控制的逆变器的结构形式和品质性能的要求也不尽相同。目前,用于变频器的电力电子器件主要有晶闸管、门极可关断晶闸管(GTO)、双极型电力晶闸管(GTR)、绝缘栅双极晶体管(IGBT )、功率MOS场效应管(功率MODFET ),以及最近几年在这些基本器件基础上发展起来的集成模块和智能功率模块IPM(Intelligent Power Module )等。自从1964年,德国的A.Schonung等人率先提出了脉宽调制变频的思想,它们把通信

15、系统中的调制技术推广应用于变频调速中,为现代交流调速技术的发展和实用化开辟了新的道路。30多年以来,PWM控制技术经历了一个不断创新和不断完善的发展过程。 电力电子器件技术的不断发展,使得耐高压、大电流的新型电力电子器件已经应用玉电气传动系统。世界各大电气公司将研究的重点放在中、高压大功率变频调速上,高压大功率变频器因其在电磁兼容、电磁辐射、串联技术等方面问题有很大的技术难度,成为各大电气公司竞争的热点。在大功率交交变频调速技术方面,法国阿尔斯通以能提供单机容量达3万KW 的电气传动设备用于船舶推进系统。在大功率无换向器电机变频调速技术方面,意大利 ABB 公司提供了单机容量为 6 万 KW

16、的设备用于抽水蓄能电站。在中功率变频调速技术方面,德国西门子公司 Sinowert A 电流型晶闸管变频调速设备单机容量为102600 KVA和Sinowert P GTO PWM 变频调速设备单机容量为 100900KVA,其控制系统已全面数字化。国外变频交流调速技术高速发展有以下特点:(1)、市场的大量需求。随着工业自动化程度的不断提高和能源全球性短缺,变频器越来越广泛地应用在机械、纺织、化工、造纸、冶金、食品等各个行业以及风机、水泵等的节能场合,已取得显著的经济效益。(2)、功率器件的发展。今年来高电压、大电流的 SCR、GTO、IGBT、IGCT 等器件的生产已经并联、串联技术的发展应

17、用,使高低压、大功率变频器产品的生产及应用成为现实。(3)、控制理论和微电子技术的发展。矢量控制、磁通控制、转矩控制、模糊控制、自适应、神经网络等新的控制理论为高性能的变频器提供了理论基础;32位高性能微处理器及信号处理器和专用集成电路(ASIC)的快速发展,为实现变频调速传动设备高精度、多功能提供了硬件手段。(4)、基础工业和各种制造业的高速发展,变频调速传动设备相关配套件实现了社会化、专业化生产。从总体上看我国电气传动的技术水平较国际先进水平还有一定差距。在大功率交交变频、无换向器电机等变频技术方面,国内只有少数科研单位有能力制造,但在数字化及系统的可靠性方面与国外还有相当差距。而这方面产

18、品在诸如抽水蓄能电站机组启动及运行、大容量风机、压缩机和轧机传动、矿井卷杨方面有很大需求。在中小功率变频技术方面,国内学者作了大量的变频理论的基础研究,早在 80 年代,已成功引入矢量控制的理论,针对交流电机的多变量、强耦合、非线性的特点,采用了线性解耦和非线性解耦的方法,探讨交流电机变频调速的策略控制。进入 90 年代,随着高性能单片机和数字信号处理器的使用,国内学者紧跟国外最新控制策略,针对交流感应电机特点,采用高次谐波注入 SPWM 和空间矢量控制(SVPWM)等方法,控制算法采用模糊控制、神经网络理论对感应电机转子电阻、磁链和转矩进行在线观测,在实现无速度传感器交流变频调速系统的研究上

19、作了大量的基础研究。1.3 课题的主要研究内容 PWM控制技术以其控制简单,灵活和动态响应好的优点而成为电力电子技术最广泛应用的控制方式,也是人们研究的热点。由于当今科学技术的发展已经没有了学科之间的界限,结合现代控制理论思想或实现无谐振软开关技术将会成为PWM控制技术发展的主要方向之一。早期的控制方法使得输出为矩形波,谐波含量较高,滤波困难。SPWM 技术较好地克服了这些缺点。而随着电力电子技术的发展,人们对变压变频电源的输出电压波形的质量也提出了越来越高的要求。对逆变器输出波形质量的要求主要包括两个方面:一是稳态精度高;二是动态性能好。因此,研究开发既简单有具有优良动、静态性能的逆变器控制

20、策略,已成为电力电子领域的研究热点之一,伴随着这个问题解决的深入如何产生标准的、精确的何可控的SPWM信号是实现这种技术的关键。等面积法就是利用控制理论中一个常用的定理:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。等面积法的基本思想是将一个正弦波分成T等份,把每一等份的正弦波曲线与横轴所包围的面积用一个与此面积相等的等高矩形脉冲代替。它具有控制精度高、输出正弦波形好、开关点实时计算不复杂。本课题的任务根根据生产SPWM波形的等面积算法的思想,对生产SPWM的控制算法进行深入研究。电路采用三相桥式逆变器,双极性控制,要求每半周生产18个脉冲波,设计基于等面积算法生产SPWM

21、的控制算法;用51单片机输出(用查表法)SPWM脉冲波形。本设计的主要内容章节安排如下:第一章绪论,介绍了涉及课题的研究背景、意义和现状,PWM工控应用,以及该设计的主要任务。第二章等面积法生产SPWM波形的算法设计,分析了现有各种等面积法的优缺点,选取和改进生成一种较为精确的波形生产算法,并进行相应的误差校正。第三章逆变与PWM调制技术,介绍了逆变技术基本原理以及在电力行业中使用目的和用途。第四章正弦脉宽调制的实现,介绍了脉宽调制技术中最常用的两种调试方法:单极性控制和双极性控制。第五章谐波分析,分析单双极性下各种PWM生成方式下谐波分量的存在情况,以期比较各种方式对谐波的消除作用的强弱。第

22、六章软件设计,介绍单片机控制程序的编写思想和流程。第七章硬件设计,介绍实现驱动三项逆变桥的仿真系统的设计和各主要元件的介绍。第八章总结,对整个设计和设计过程中的问题和心得进行小结。2 正弦脉宽调制(Sinusoida PWM)技术2.1等面积法的介绍采样控制理论中有一个重要结论:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。等面积法的基本思想是将一个正弦波分成N等份,把每一等份的正弦曲线与横轴所包围的面积用一个与此面积相等的矩形脉冲代替。若按照常用的谐波分析方法几乎全是将正弦波每一等份用一个矩形或梯形面积等效来分析,但用51单片机生成的SPWM输出引脚来形成SPWM波形,实

23、际上每一等份的矩形波都是具有某一占空比的SPWM波形。2.2 现有几种等面积法的介绍与比较直接等面积法-直接在一个采样间隙内正弦波小面积与生成的SPWM波所对应脉冲面积相等原理产生SPWM波,其优点时计算量小,计算过程简单,采样点少和规则采样法相当便于计算机实现。缺点是计算精度不足。精确直接等面积法-基本控制算法同直接等面积法,不同的是在计算式考虑到期间开通和关断时的死区时间并利用计算机等辅助手段更加精确的计算等效面积,这种方法较直接等面积法稍微复杂但在精度上有了很大的提升。.改进的等面积法-直接等面积法是以采样周期的几何平均中心为矩形脉冲中心,从面积等效原理可知,由这些脉冲构成的正弦波存在一

24、定误差一些学者提出一种改进的等面积法,即以正弦波每一等份的面积中心作为矩形脉冲的中心。该方法使变频器输出正弦波形的谐波分量更少。因此在综合参考文献中所提到的各种算法,本方案拟采用的改进的等面积法来进行算法的进一步研究。2.3 等面积法的设计研究2.3.1 等面积算法的原理 如图1上所示的正弦半波波形分为N等分,每份为N,当把该信号作为某个惯性环节的输入信号时,可以将其看做是由N个彼此相连的脉冲信号所组成的输人信号,且这些脉冲信号的宽度相同,只是幅值各不相同,各脉冲的幅值是按正弦规律变化的。如果将这些脉冲换成相同数量、相同面积的等幅脉冲代替,并使等幅脉冲序列的中点相重合就可得到图l下图的脉冲序列

25、。若将这两种脉冲序列施加在同一个惯性环节时,其输出基本相同。图1下图所示的等幅脉冲序列就称为脉冲宽度调制SPWM波形,可以看出该波形中各个脉冲的幅值相等,而宽度是按正弦规律变化的,根据面积等效的原理,SPWM波形和正弦半波是等效的。对于正弦波的负半周,也可以用相同的方法得到脉冲宽度调制SPWM波形。这种脉冲幅值相等,宽度按正弦规律变化,且与正弦波的输出响应等效的脉冲宽度调制称为正弦脉冲宽度调制SPWM。要改变等效输出正弦波的幅值时,只要按照同一比例系数改变上述各脉冲的宽度即可。在图中的正弦半波波形中取一小区间,其面积为,则与其面积相等的矩形脉冲面积为,宽度为。设正弦波幅值为。调制度为,矩形脉冲

26、幅值为。 图2.1 SPWM波形原理 (1) (2) (3) (4)( i=1,2,3)2.3.2 等面积法的算法推导 载波比K将决定每个调制波周期中,输出SPWM脉冲的个数。设半个调制波周期输出l8个SPWM脉冲。从输出波形上可以明显看出,它比单脉冲调制输出电压基波的波形更接近正弦波。而且K值越高,SPWM脉冲个数越多,越接近理想正弦波。下图中k代表第k次采样,N代表半周期内对正弦的采样点。IGBT的开关时间可如图2所示进行计算。图2.2 生产SPWM波形的面积等效图在图2中设正弦调制波的频率为50Hz,脉冲的幅值为单位量1,用表示,则正弦波幅值就是调自制比,设它为1,设为正弦波所对应的第K

27、个面积,设所得脉冲波的面积为,把正弦半波波形分为等分,分别求其所包含的面积,用双极性正弦脉宽调制,可得如下关系:=(面积差等于)(宽度和等于)式中:凡把正弦半波波形分为N等分,k为第几个等分(k=0,1,2, n一1), 为正脉冲的宽度,在设x为第k个脉冲所对应的时间点,Y为第k+1个脉冲所对应的时间点。 (5) (6) (7) (8) (9) (10) (11)综合上述推导公式利用计算机程序可计算出每个脉波对应的器件开关时间,如下:I=0 TN=0.000266 TN1=0.000290I=1 TN=0.000797 TN1=0.000867I=2 TN=0.001330 TN1=0.001

28、448I=3 TN=0.001865 TN1=0.002024I=4 TN=0.002402 TN1=0.002598I=5 TN=0.002942 TN1=0.003169I=6 TN=0.003485 TN1=0.003737I=7 TN=0.004033 TN1=0.004301I=8 TN=0.004584 TN1=0.004860I=9 TN=0.005140 TN1=0.005416I=10 TN=0.005699 TN1=0.005967I=11 TN=0.006263 TN1=0.006515I=12 TN=0.006831 TN1=0.007058I=13 TN=0.0074

29、02 TN1=0.007598I=14 TN=0.007976 TN1=0.008135I=15 TN=0.008552 TN1=0.008670I=16 TN=0.009131 TN1=0.009203I=17 TN=0.009710 TN1=0.0097343逆变与PWM调制技术3.1 逆变电源和逆变技术将交流电变成直流电的过程叫做整流。与之相反,将直流电变成交流电的过程叫做逆变。完成逆变功能的电路则称为逆变电路,逆变根据逆变电路输出交流侧交流电源的有无,可分为有源逆变和无源逆变。逆变电路根据直流电源的类型不同,又可分为电压型逆变电路和电流型逆变电路。而实现逆变过程的装置叫做逆变设备或逆变

30、器。无源逆变电路输出的频率与电压既可以是恒定的,也可以是可变的,它与有源逆变有很大的差别。变频逆变电路一般应用于交流电机的变频调速,性能可靠、动态性能好、节电、效率高。恒频逆变电路一般用于感应加热、计算机的UPS电源领域,随着技术的进步,变频器的容量越来越高、性能优良、价格越来越低,在农业生产、家电、医用设备、军事装备上得到广泛的应用。3.1.1 逆变的目的和优点(1)逆变的目的 采用逆变技术是为了获得不同的稳定或变化形式的电能。例如; 由蓄电池中的直流电源获得交流电,如不间断电源,应急灯电源、通用逆变电源等。 由苦电池中的直流电源获得多路稳定的直流电,如程控电话交换机二次电源等各种通用比AC

31、Dc变换器。 获得可变频率的交流电源,如交流电动机调速变频器等。 实现电能量回馈,如电动机制动再生能量回馈有源逆变系统等 使电源设备小型化、高效节能、获得更好的稳定性和调节性能,流电源变换器。利用感应涡流产生热量,如中频炉和高频感应加热(电磁灶等)采用逆变技术的优越性在现代逆变技术的应用领域中,许多用电设备和系统都有一个发展的过程。由磁放大式到硅二极管整流式,再到可控硅(晶问管)整流式,直至发展到逆变式(或者叫开关式),这不仅是因为现代电力电子技术的发展为逆变技术的采用提供了必要的条件,更重要的还是因为采用逆变技术有很多优越性。我们可以控制逆变电路的工作频率和输出时间比例,从而使输出电压或电流

32、的频率和幅值按照人们的意愿或设备工作的要求来灵活地变化。例如,交流电动机的调速需要灵活地改变三相电流的频率和电压幅度(VVVF调速);感应加热电源输出电压的频率和幅度变化就可以改变被加热金属器件产生的热量。不间断电源设备在电网停电时,将蓄电池中的直流电逆变成交流电,供计算机等用电设备使用,不间断其工作,从而不会造成太大损失。(2)逆变技术的优点灵活地调节输出电压或电流的幅度和频率。将蓄电池中的直流电转换成交流电或其他形式的直流电。明显地减少用电设备的体积和重量,节省材料。高效节能。动态响应快、控制性能好、电气性能指标好。保护快。3.1.2逆变的基本原理如图所示:图中是一单相桥式逆变电路原理示意

33、图,T1T4为理想开关,R为电阻。当T1、T3接通,T2、T4断开时,负载电压Uo为正;当T2、T4接通,T1、T3断开时,Uo为负。如果每组开关接通的时间为半个周期,在负载上就得到了 交流电压Uo,其幅值为直流电压源Ud,频率取决于两组开关的切换频率。这样就把直流电变成了交流电。如果将图中的机械开关用电力电子器件(如IGBT)取代,这种自关断开关与二极管并联构成的桥臂具有全控双向开关的效果。根据开关状态可以确定电压型逆变电路的输出波形,其中无功反馈二极管的加入实现了对逆变电路输出电压瞬时值的完全控制。在电压型的逆变电路的控制中,广泛采用的是脉宽调制技术。图3.1 基本的逆变电路脉宽调制技术(

34、pwm)的基本原理:在采样控制理论中有一个重要结论:冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。把各输出波形用傅立叶级数变换分析,则在低频段非常接近,仅在高频段有差异,如图所示: 图3.2 不同形状的窄脉冲三个在脉冲形状不同,a为矩形脉冲,b为三角脉冲,c为正弦半波脉冲,它们的面积(冲量)为一,但它们分别加在同一惯性环节上时,输出的响应是基本相同的。上述原理可称之为面积等效原理,它是PWM技术的重要理论基础。PWM波形可分为等幅的PWM波和不等幅的PWM波两种。但无论是等幅的还是不等幅的PWM波,都是基于面积等效原理的。4 正弦脉宽调制的实现脉宽调制的方法有很多,分类的方

35、法也没有统一。较常见的分类方法有: 根据调制脉冲的极性可以分为单极性和双极性调制两种; 根据载波信号和基准信号频率之间的关系,可以分为同步调制和异步调制两种; 根据基准信号的不同可以分为矩形波脉宽调制和正弦波脉宽调制。 矩形波脉宽调制法的特点是输出脉冲列是等宽的,只能控制一定次数的谐波;正弦波脉宽调制方法的特点是输出脉冲宽度是不等宽的,宽度按照正弦规律变化,故输出电压的波形接近正弦波。4.1单极性调制与双极性调制 根据调制脉冲的极型,PWM可分为单极性调制与双极性调制两大类,如图所示:当正弦波的正半周时,如果正弦波的幅值大于三角波的幅值时,比较器输出正电平,如果正弦波小于三角波幅值时,比较器输

36、出0电平。当在正弦波的负半周时,如果正弦波幅值大于三角波时,输出为负电平。正弦波幅值小于三角波时则输出0电平。所以有正、0、负三种电平,像这种在控制信号的半个周期内三角波只在一种极性的变化,称之为单极性调制。图4.1 单极性PWM调制4.2双极性SPWM控制方式与单极性调制对应的是双极性调制。为了得到SPWM波形,可以利用一个控制信号(正弦波,也称调制波)与一个较高频率的等腰三角波(载波)相比较以产生开关通断的控制信号。当正弦波信号幅值大于三角波幅值时,比较器输出+Ud/2,反之输出-Ud/2。这样得到双极性的脉冲序列,脉冲宽度和控制信号的高度成正比。这样得到的只有正、负两种电平的PWM信号,

37、这称为双极性脉宽调制。将此脉冲列作傅立叶级数分解,可知是放大了的控制信号和一系列的高次谐波。滤掉高次谐波,就得到了被放大了的控制信号。图4.2 双极性PWM调制三相SPWM控制公用uc,三相的调制信号urU、urV和urW依次相差120当urUuc时,给V1导通信号,给V4关断信号,uUN=Ud/2,当urUuc时,给V4导通信号,给V1关断信号,uUN=-Ud/2,当给V1(V4)加导通信号时,可能是V1(V4)导通,也可能是VD1(VD4)导通,uUN、uVN和uWN的PWM波形只有Ud/2两种电平,uUV波形可由uUN-uVN得出,当1和6通时,uUV=Ud,当3和4通时,uUV=Ud,

38、当1和3或4和6通时,uUV=0输出线电压SPWM波由Ud和0三种电平构成,负载相电压SPWM波由(2/3)Ud、(1/3)Ud和0共5种电平组成 图4.3 三项逆变电路在图中绘出了三相SPWM逆变器工作在双极式控制方式时的输出电压波形。其调制方法和单极式相同,输出基波电压的大小和频率也是通过改变正弦参考信号的幅值和频率而改变的,只是功率开关器件通断的情况不一样。双极式控制时逆变器同一桥臂上下两个开关器件交替通断,处于互补的工作方式。例如图6b中,uA0f(t)是在+ US/2和US/2之间跳变的脉冲波形,当urauT时,VT1导通,uA0+ US/2;当urauT时,VT4导通,uA0US/

39、2同理,uB0波形是VT3、VT6交替导通得到的;uC0波形是VT5、VT2交替导通得到的。由uA0 减uB0得到逆变器输出的线电压波形uAB=f(t),脉冲幅值为US和US。 ucurUurVurWuuUNuVNOttttOOOuWN2Ud-2Ud 图4.4 三项逆变电路三项PWM波形 一个逆变电路,是采用单极性还是双极性调制,完全取决于主电路本身的结构。某些电路,如单相桥式逆变电路,既可用单极性PWM控制方案,也可用双极性PWM调制信号去控制。而三相桥式逆变电路则采用双极性PWM调制信号去控制。在同等情况下,单极性PWM调制波比双极性PWM调制波的谐波分量要小些。通常三角波的幅值与频率固定

40、,只是正弦波信号的幅值与频率进行改变。正弦波信号的幅值与三角波幅值的比称为调制比,用M表示。将脉宽调制技术应用于交流调速系统要受到逆变器功率器件开关频率和调制度的制约。逆变器各功率开关器件的开关损耗限制了脉宽调制逆变器的每秒脉冲数(即逆变器每个开关器件的每秒动作次数)。同时,为保证主电路开关器件的安全工作,必须使所调制的脉冲波有个最小脉宽与最小间隙的限制,以保证脉冲宽度大于开关器件的导通时间与关断时间。定义调制度,一般M在01之间变化,逆变器输出的基波分量与M成线性关系。如果大于1,那么正弦波输出特性将被破坏,这种情况叫做过调制。将三角波和正弦波频率的比值叫做载波比,用N表示。因此,载波不变得

41、情况下,改变正弦波的幅值即可改变输出基波的幅值,改变正弦波的频率即可改变输出基波的频率。5 谐波分析从逆变器诞生之日起人们就把改善输出波形,消除谐波,提高波形质量作为一项重要的研究内容,所以对SPWM 波形的谐波分析有着十分重要的意义。传统的方法根据已调波特定的奇偶性和对称性,在信号波的一个周期内建立数学模型。分析具有一定的特殊性,仅适用同步调制模式。然而异步调制也是一种广为采用的调制方法,完全有必要加以研究。本文先讨论异步模式下SPWM 波的几种谐波问题,然后说明该法与同步模式下SPWM 谐波问题分析的一致性。5.1单相双极性SPWM波的谐波分析单相半桥式逆变器电路是逆变器中最简单、最基本的

42、电路结构,也是其它各类型逆变器的基础。为简便起见,首先分析单相半桥主电路输出的双极性SPWM 波的谐波特性,在分析其它逆变器的谐波特性时,可以直接引用其结果,简化运算步骤。异步调制模式有别于同步调制模式。异步调制模式的正弦调制波(以下简称信号波) 的各周期内,所包含的脉冲个数没有重复性,因而无法以信号波角频率0 为基准分解成傅氏级数,而以载波角频率s 为基准展开为傅氏级数比较方便,而且可以直接从控制关系入手,在一个载波周期内建立数学模型。不依赖已调输出波形。将信号波ur ,和载波us 进行比较,经主电路输出SPWM波仍然是周期性的。 调制波:载波:m为幅度调制比,是01 之间的数,1 和2是载

43、波和信号波交点之值,因此:根据傅立叶级数的一般形式可得:上式中第1 项为基波,第2 项为谐波分量。但各次谐波的分布规律仍不易看出,将第2 项展开为贝塞尔函数项级数: 其中 n阶贝塞尔函数再把式(3) 中的n 分奇偶两种情况分别讨论如下:(1)n=1,3,5,.时,cos()=0,则令k=2,=1,2,3,则(2) n = 2 ,4 ,6. . . 时,sin ( n/ 2) = 0 ,令k = 2-1 , = 1 ,2 ,3 ,. . . :由以上分析可知,半桥双极性SPWM 波的谐波特性如下:基波分量 振幅调节ma 即可调节输出电压;谐波分量振幅n= 1 ,3 ,5 ,. . . 时, k

44、= 2 ,4 ,. . . ; n = 2 ,4 ,6. . . 时, k= 1 ,3 ,5. . . ;谐波以 分组。最低组谐波为 ,每组以载波频率ns 为中心,边频k0分布其两侧,其幅度两侧对称衰减。存在奇数倍的载波频率s。可见采用SPWM 技术使得谐波成分向高端搬移到载波s 的附近。这对滤波网络的设计,抑制谐波极为有利。图2-8,不同M时单相桥式SPWM逆变电路输出电压频谱图谐波角频率为 式中,n=1,3,5,时,k=0,2,4, ;n=2,4,6,时,k=1,3,5, 图5.1 单相SPWM桥式逆变电路输出电压频谱图5.2三相逆变器输出SPWM 波的谐波分析三相逆变器由3 个半桥组成。求出3 个半桥的傅立叶级数展开式,再按谐波迭加原理可求出线电压的傅立叶级数展开式。数学过程与上述相同。三相调制波为对称三相正弦波。下面直接写出线电压的解析结果,以uab相为例:基波:谐波情况分别为n = 1 ,3 ,5. . . , k = 2 ,4 ,6. . .时:n = 2 ,4 ,6. . . , k = 1 ,3 ,5. . . 时:上述分析可归纳如下:基波分量 当m= 1 时电压的利用率为0. 886 ;谐波分量( k0 ns ) , 振幅为 n=1,3,5.时,k=3(2m-1)1,m=1,2,3;n=2,4,6,.时 谐波中无载波频

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号