《数字电子技术基础》课程设计电容测试仪.doc

上传人:仙人指路1688 文档编号:4136528 上传时间:2023-04-07 格式:DOC 页数:20 大小:796.50KB
返回 下载 相关 举报
《数字电子技术基础》课程设计电容测试仪.doc_第1页
第1页 / 共20页
《数字电子技术基础》课程设计电容测试仪.doc_第2页
第2页 / 共20页
《数字电子技术基础》课程设计电容测试仪.doc_第3页
第3页 / 共20页
《数字电子技术基础》课程设计电容测试仪.doc_第4页
第4页 / 共20页
《数字电子技术基础》课程设计电容测试仪.doc_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《《数字电子技术基础》课程设计电容测试仪.doc》由会员分享,可在线阅读,更多相关《《数字电子技术基础》课程设计电容测试仪.doc(20页珍藏版)》请在三一办公上搜索。

1、课程设计任务书学生姓名: 杨坚 专业班级: 电信1006 指导教师: 工作单位: 信息工程学院 题 目:电容测试仪初始条件:具备电子电路的基础知识和设计能力;具备查阅资料的基本方法;熟悉常用的电子器件;熟悉电子设计常用软件的使用;要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、设计电容数字测试电路;2、测量电容范围:100pf1f;3、数码管显示电容值;4、掌握数字电路的设计及调试方法;5、撰写符合学校要求的课程设计说明书。时间安排:时间一周,其中2天原理设计,3天电路调试指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目录1 绪论31.1

2、选题背景31.2 设计要求32系统概述42.1 方案的选择及可行性分析42.2 方案论证52.2.1 设计思路52.2.2 设计的总体框图53.1 用555定时器构成的多谐振荡器63.2 用555定时器构成的单稳态电路63.3 74LS160构成的计数器73.4 74LS273锁存器83.5 74LS247译码器83.6 数码管显示电路94 电路总体描述及功能实现104.1 电路参数选择104.2 产品使用说明104.3 仿真安装与调试105实物制作与调试115.1制作115.2调试116结论126.1 总结126.2 收获与体会12参考文献14附件115附件216附件317附件418本科生课

3、程设计成绩评定表191 绪论1.1 选题背景 电阻、电容、电感是电工领域中最基本的物理量。对于从事电气技术的工作者、生产人员,电容测量仪是不可缺少的常用仪表。任何一种仪器、仪表在保证一定的技术指标的前提下,结构简单、使用方便、工作可靠、价格低廉是评价其优劣的一项综合指标。 各种各样的电容器,它们在电路中分别起着不同的作用。与电阻器相似,通常简称其为电容,用字母C表示。顾名思义,电容器就是“储存电荷的容器”。尽管电容器品种繁多,但它们的基本结构和原理是相同的。两片相距很近的金属中间被某物质(固体、气体或液体)所隔开,就构成了电容器。两片金属称为的极板,中间的物质叫做介质。电容器也分为容量固定的与

4、容量可变的。但常见的是固定容量的电容,最多见的是电解电容和瓷片电容。 由于电容元件本身的储能特性,因此它被广泛地应用于整流,滤波,耦合,振荡等电路中,几乎成为现代整机产品中不可或缺的分立元器件。因此,无论是对电容生产厂商或整机设计维修工程师来讲,通过电容测量仪准确地了解电容元件的参数特性都非常有必要,尤其是模拟电路和射频电路设计工程师。 电容器在电子线路中得到广泛的应用,它的容量大小对电路的性能有重要的影响,此次我的课程设计就是用数字显示方式对电容进行测量。 1.2 设计要求 (1) 被测电容的容量在100pF至1F范围内。(2) 设计测量量程。(3) 用位数码管显示测量结果2系统概述2.1

5、方案的选择及可行性分析 数字式电容测量仪的作用是以十进制数码的方式来显示被测电容的大小,从而判断电容器质量的优劣及电容参数。由给出的指标设计,它的设计要点可分为俩部分:一部分是数码管显示,另一部分就是要将Cx值进行转换。能满足上述设计功能的方案很多,我们共总结出下面四种参考方案:方案一:把电容量通过电路转换成电压量,然后把电压量经模数转换成数字量显 示。可由555集成定时器构成单稳态触发器、多谐振荡器等电路,当单稳态触发器输出电压的脉宽为:tw=RC31.1RC。从式中可以看出,当固定时,改变电容C则输出脉宽tw跟着改变,由tw的宽度就可以求出电容的 大小。把单稳态触发器的输出电压Vo取平均值

6、,由于电容量的不同,tw的宽度也不同,则Vo的平均值也不同,由Vo的平均值大小可以得到电容C的大小。如果把平均值送到A/D转换器,经显示器显示的数据就是电容的大小。但是我们对A/D转换器的掌握程度还不够充分,设计有一些困难。方案二:用阻抗法测R、L、C有两种实现方法:永恒流源供电,然后测元件电压;永恒压源供电,然后测元件电流。由于很难实现理想的恒流源和恒压源,所以它们适用的测量范围很窄。方案三:像测量R一样,测量电容C的最经典方法是电桥法,如图2.1所示。只是电容C要用交流电桥测量。电桥的平衡条件是: Z1*Zn*expj(1+n) =Z2*Zx*expj(2+x) 图2.1 电桥电路通过调节

7、阻抗Z1、Z2使电桥平衡,这时电表读数为零。根据平衡条件以及一些已知的电路参数就可求出被测电容。用这种方法测量,调节电阻值一般只能手动,电桥的平衡也难以用简单电路实现。这样,电桥法不易实现自动测量。方案四:应用基本思想:把较难测量的物理量转变成精度较高且较容易测量的物理量。先把电容C转换成宽度为tw的矩形脉冲,然后将其作为闸门控制计数器计数,技术后再运算求出C的值,并送出显示,转换的原理是由于单稳态触发器的输出脉宽tw与电容C成正比,可利用数字频率计的知识,把此脉冲作闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送至计数锁存译码显示系统就可得到电容量的数据。其实,这种转换就是把模拟量

8、转换成数字量,频率f是数字电路很容易处理的数字量,这种数字化处理一方面便于式仪表实现智能化,另一方面也避免了有指针读数引起的误差。因此本设计我们采用此方案。2.2 方案论证 2.2.1 设计思路本设计中用555振荡器产生一定周期的矩形脉冲作为计数器的CP脉冲也就 是标准频率。同时把待测电容C转换成宽度为tw的矩形脉冲,转换的原理是单稳态触发器的输出脉宽tw与电容C成正比。用这个宽度的矩形脉冲作为闸门信号控制计数器计数,合理处理计数系统电路,可以使计数器的计数值即为被测电容值。或者把此脉冲作为闸门时间和标准频率脉冲相“与”,得到计数脉冲,该计数脉冲送计数锁存译码显示系统就可以得到电容量的数据。外

9、部旋钮控制量程的选择。用计数器控制电路控制总量程。如果超过电容的量程,则报警并清零。 2.2.2 设计的总体框图 该方案的总体方框图如图2.2所示:定时电路多谐振荡器计数器译码器数码显示器微分电路自动调零图2.2 原理框图被测电容3 单元电路设计分析3.1 用555定时器构成的多谐振荡器 电路图及其输出波形如下图所示,其工作原理如下:由图3.1所示,可以求得电容C1上的充电时间T1和放电时间T2 : T1=(R1+R2)C20.7(R1+R2)CT2=R2C20.7R2C所以输出波形的周期为T=T1+T2=(R1+2R2)C20.7(R1+2R2)CR1=4.7k,R2=12k,T2ms振荡频

10、率 f=1T1.44(R1+2R2)C500Hz占空比 q= (R1+R2)(R1+2R2)58.2 图3.1 多谐振荡电路及输出波形3.2 用555定时器构成的单稳态电路 用555定时器构成的单稳态触发器及其工作波形如图3.2所示,其工作原理如下:接通电源瞬间,Vc=0,输出Vo=1,放电三极管T截止。Vcc通过R给C充电。当Vc上升到2Vcc3时,比较器C1输出变为低电平,此时基本RS触发器置0,输出Vo=0.同时放电三极管T导通,电容C放电,电路处于稳态,稳态时Vi=1.当输入负脉冲时,触发器发生翻转,使Vo=1,电路进入暂稳态。由于Vo=1,三极管T截止,电源Vcc可通过R给C充电。当

11、电容C充电至Vc=2Vcc3时电路又发生翻转,输出Vo=0,T导通,电容C放电,电路自动恢复至稳态。可见,暂稳态时间由RC电路参数决定。若忽略T的饱和压降,则电容C上电压从0V上升到2Vcc3的时间,即输出脉冲宽度tw为: tw=RC31.1RC 图3.2 单稳态电路及输出波形3.3 74LS160构成的计数器 74LS160是集成同步十进制计数器,该计数器具有同步预置、异步清零、计数和保持四种功能有进位信号输出端,可串接计数使用。由三个71604LS160构成的计数器电路如下图所示 图3.3 计数电路3.4 74LS273锁存器 由74LS273构成的锁存电路对计数值进行锁存。74LS273

12、工作原理是:MR为高电平,当CLK输入为上升沿时对输入信号进行锁存,锁存后输出不再随输入信号变动,直至下一个上升沿到来。这里的CLK输入由单稳态输出接反相器得到。当单稳态输出为低电平时,表示定时结束,同时锁存电路对计数值进行锁存。以正确显示电容值。电路如下所示: 图3.4 锁存电路3.5 74LS247译码器 74LS247芯片的功能即将四位二进制表示的数进行译码,以驱动共阳的七段数码管显示其值。电路图如下所示: 图3.5 译码电路3.6 数码管显示电路 由任务要求知,用三位数码管显示被测电容值的大小。因为译码电路用的是74LS247,并且0.01uf-1uf档位的设计采用1-100乘以0.0

13、1,所以这里选用八段共阳数码管,当量程为0.01uf-1uf时,第二位数码管的小数点亮。 图3.6 数码管显示电路4 电路总体描述及功能实现 4.1 电路参数选择 以电容值0.1uF为例,因为测试电容的原理是:闸门信号Tw=1.1RCx,而振荡器输出周期为T=0.7(R1+2R2)C的基准脉冲,我们设置电路使0.7(R1+2R2)C*N=1.1RCx,等式两边同时约去N和Cx,那么在闸门信号闸门内有N个基准脉冲,电容值就为N(Cx)。 4.2 产品使用说明 将被测电容安装在单稳态电路中电容C3位置处,选择一个量程的开关合上,并点击运行开关。若显示值在0-100之间,则说明量程选择正确;若显示值

14、为000,则说明量程选择大,应调小量程;若显示值大于100,则说明量程选择太小,应调大量程。如果单位显示为PF,则第三个数码管的小数点会亮,说明被测电容值测量结果应为显示值乘以100。4.3 仿真安装与调试(1)按照总电路图接好电路,检查无误后即可通电调试。本设计在proteus软件里调试。(2)当点击运行按钮时,555多谐振荡器开始工作,输出周期为 T=0.7(R1+2R2)C 的方波信号。将多谐振荡器输出的脉冲送往74LS160开始计数,同时将输出信号结至单稳态电路输入端,触发单稳态电路进行定时功能。(3)在多谐振荡器输出输出周期性脉冲的时候,555单稳态触发器的输入端会不断地输入方波脉冲

15、,由555单稳态的性质我们可以知道,当负脉冲到来时,单稳态触发器会输出为宽度为是Tw=1.1RC的正脉冲;(4)从理论上讲,我们可以通过74LS160输出所测电容的大小,但是由于555单稳态触发器输出的负脉冲时间非常短,我们几乎从显示器上无法确定单稳态负脉冲的到来,因此我们用了一个74LS273做成的锁存器。当555单稳态输出负脉冲时,我们将此信号经过一个非门,去控制74LS273的CP脉冲,在555输出正脉冲时锁存器不输出数据,只有555单稳态触发器输出负脉冲时,控制74LS273的CP脉冲输出当前的数据;(5)根据课程设计的要求,我们设计了两个量程,分别由接在555单稳态触发器6、8脚的电

16、阻器来完成的。通过控制电阻的大小,可以控制输出正脉冲的宽度,即定时时间长短,间接的控制输出电容的量程,同时在输出不同的量程的时候,我们通过电路控制不同的显示加以区别;选取了6个待测电容进行测量,数据如下表4-1所示。其中部分仿真结果如下图所示: 表4-1 调试结果记录被测电容标称值测量值测量误差C1100pF(101)1*100pF0C22000pF(332)19*100pF5%C30.01uF(103)0.01uF0C40.47uF(333)0.47uF0C51uF(684)1uF0C668uF68uF05实物制作与调试5.1制作事物的制作考虑到数电跳线多且芯片引脚可能有差别我选择用洞洞板做

17、而没有用PCB板做。首先,购买好元件根据元件在纸上布好局。布局后根据布局焊接电路,焊接过程中根据需要适当的改动布局。5.2调试实物焊好后,用万用表测量每一条线路是否导通,是否焊错。之后,接通电源,用万用表测量每个节点的电压状况,改正。一般来说,刚做的电路不可能一接电源就是好的。接入电源后没有预期的结果,用示波器观测发现多谢振荡器有方波输出但数据不对,检查发现它的两个电容接反了。就这样一步步,后面又发现了芯片输入输出接反了,流过芯片电流过大芯片发烫的问题。一一解决后,测量一组电容,记录数据见附件46结论6.1 总结 该数字电容测试仪完成了设计任务的基本要求,仪表具有性能可靠、精度高、操作简单,显

18、示直观等特点。不足之处在于当被测电容值100pf-0.01uf之间时。测量误差较大。这是因为设计要求用三个数码管显示,而该量程为0.0001uf-0. 01uf或者说是100pf-10000pf,设计该量程时采用显示值乘以100的方法来表示被测电容值,这样一来虽然三个量程的显示值都在1-100之间,但100pf-0.01uf档位的误差就较大了。6.2 收获与体会 从抽到课程设计的任务到在软件中实现其功能,再到报告的撰写,这一周的时间对我们来说是受益匪浅的。 这是一次理论与实际相结合的过程,在这一过程中,我们终于能将所学的知识应用到生活实际中去。以前学习总感觉知识与实际脱离的太远,学得再好,过不

19、了多长时间就全忘了,到头来学了也不知道有什么用,学了也没用到实处去。而这次课程设计,虽然我们只是在软件上实现其功能,而没有做出实物,但这也让我们充分体会到了知识的魅力,实践的乐趣。 由于我开始有自学过单片机,用过proteus软件,对一些功能模块比较熟悉,所以接到设计任务时对各部分电路有了整体的认识。结合相关的资料,我便开始设计起电路图来。在设计过程中,多谐振荡器中电容电阻值的选择,量程的设计及单位显示电路的设计是值得注意的。74LS160对计数脉冲有一定的要求,同时多谐振荡器的频率也会影响测量精度。所以多谐振荡器的电容电阻值的选择有一定的讲究。在设计量程时,我是通过改变单稳态电路6、8引脚间

20、的电阻值来设计的。 当然,在整个设计过程中并非只遇到这几个问题。我们可能会因为一个问题而在电脑前调试好几个小时。但这发现问题、解决问题的过程就是我们学习的过程。只有这样,我们才能体会到成功后的喜悦,也只有这样,我们才能真正学到知识,开拓思维,提高动手能力。在我看来,拼装出这个电路是其次,因为在网上,资料书上很有可能就有这个电路。重要的是培养自己的设计、创新能力,解决问题的能力。 在这两周期间,同学们相互讨论,相互交流,相互学习,无疑也是一次团结合作精神的培养。 总的说来,这是一次综合能力的锻炼。也激发了我们动手的欲望,学习的激情。参考文献1 阎石.数字电子技术基础(第四版/第五版)M. 北京:

21、高等教育出版社, 2006:174-184,278-298,489-4972 童诗白,华成英.模拟电子技术基础M.北京:高等教育出版社,2006:1129.3 金唯香,谢玉梅电子测试技术M.长沙:湖南大学出版社,2008.4 彭介华.电子技术课程设计指导M.北京:高等教育出版社,2006:103117.5 毛期俭,蒋维玉,罗一静,梁燕.数字电路与逻辑设计实验及应用M.北京:人民邮电出版社,2006.附件1元器件清单元器件名称大 小数 量555芯片 2 片74LS160芯片3 片74LS247 3 片74LS273 2 片7404 2片数码管3 个电容0.1uF2 个0.01uF2 个电阻4.7K1 个12K1 个180K1 个18M1 个双刀双掷开关2 个附件2总电路图附件3 实物图附件4 调试结果 多谐振电路输出波形图电容测试表被测电容标称值测量值误差C10.1uf0.09uf10%C20.01uf105X100pf5%C31uf1.06uf6%C4470pf500pf6.4%本科生课程设计成绩评定表姓 名杨坚性 别 男专业、班级信息工程学院电子信息工程1006班题 目: 数值电子技术基础课程设计-电容测试仪答辩或质疑记录:成绩评定依据:最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字: 2012 年7月4日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号