基于VHDL的DPSK载波传输系统设计毕业论文.doc

上传人:文库蛋蛋多 文档编号:4138617 上传时间:2023-04-07 格式:DOC 页数:49 大小:1.20MB
返回 下载 相关 举报
基于VHDL的DPSK载波传输系统设计毕业论文.doc_第1页
第1页 / 共49页
基于VHDL的DPSK载波传输系统设计毕业论文.doc_第2页
第2页 / 共49页
基于VHDL的DPSK载波传输系统设计毕业论文.doc_第3页
第3页 / 共49页
基于VHDL的DPSK载波传输系统设计毕业论文.doc_第4页
第4页 / 共49页
基于VHDL的DPSK载波传输系统设计毕业论文.doc_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《基于VHDL的DPSK载波传输系统设计毕业论文.doc》由会员分享,可在线阅读,更多相关《基于VHDL的DPSK载波传输系统设计毕业论文.doc(49页珍藏版)》请在三一办公上搜索。

1、基于VHDL的DPSK载波传输系统设计专业:电子信息工程班级:2007级1班姓名:郭义斌目 录引 言31 VHDL概述61.1 硬件描述语言(VHDL)61.1.1 VHDL语言的发展61.1.2 VHDL语言的特点81.1.3 VHDL语言的建模101.1.4 VHDL的设计流程111.1.5 支持VHDL研发的软件工具121.2 MAX+PLUSII简介131.2.1MAX+PLUSII的特点131.2.2 Max+plus设计流程142 载波传输系统原理162.1 载波传输系统的基本构成162.2 PSK载波传输系统调制原理162.2.1 数字调制162.2.2 二进制相移键控(PSK)

2、的调制182.3 差分相移键控DPSK调制202.3.1 差分相移键控202.3.2 DPSK调制原理212.4 绝对码相对码(差分编码)212.5 DPSK载波传输系统解调原理222.5.1 相干解调法222.5.2 相位比较法243 DPSK载波传输系统的建模263.1 DPSK的总体设计思想263.2 CPSK调制电路的VHDL建模283.3 CPSK解调电路的VHDL建模283.4 绝对码转化成相对码的VHDL建模293.5 相对码转化成绝对码电路的VHDL建模304 DPSK载波传输系统的设计实现及程序设计314.1CPSK调制电路的设计实现及程序设计314.1.1CPSK调制的VH

3、DL设计314.1.2CPSK调制的VHDL程序324.2CPSK解调电路的设计实现及程序设计334.2.1CPSK解调的VHDL设计334.2.2CPSK解调的VHDL程序344.3绝对码相对码转化电路的设计实现及程序设计354.3.1绝对码相对码转换的VHDL设计354.3.2 绝对码相对码转换的VHDL程序364.4相对码绝对码转化电路的设计实现及程序设计364.4.1相对码绝对码转换的VHDL的设计364.4.2 相对码绝对码转换的VHDL程序374 .5DPSK载波传输系统的总体gdf模块图385 DPSK载波传输系统的仿真结果分析395.1CPSK调制VHDL程序仿真分析395.2

4、 CPSK解调VHDL程序仿真分析405.3 绝对码相对码转换的VHDL程序仿真分析405.4相对码绝对码转换的VHDL程序仿真分析415.5 DPSK调制解调器的总体仿真波形41结 论43致 谢44参考文献45摘 要调制解调技术是通信系统的灵魂,其性能直接影响到整个系统的通信质量。由于数字技术的大量应用,数字调制解调技术得到了广泛的应用。随着软件无线电思想的发展,将整个系统尽可能地集成于一个芯片的设计方法已经呈现出强大的发展潜力,成为系统设计发展的主要方向。基于这种思想,介绍一种在单片FPGA上实现的全数字DPSK调制解调器的设计方法。整个设计基于ALTERA公司的MAX+PLUSII开发平

5、台,并用单片FPGA芯片实现。本设计采用自顶向下的设计方法,主要思想是对数字系统进行模块划分。本文重点介绍DPSK调制解调器中的各个模块的具体实现和相应的VHDL程序。顶层设计中各个模块是作为元件来引用的,因此需要将它们设计成独立实体的形式。本设计以MAX+plusII为设计平台,从FPGA芯片的结构出发编写了VHDL程序,并对程序进行了仿真运行,结果表明设计是符合要求的,本次设计基本达到了预期的目标。基于 FPGA技术设计并实现了 2DPSK调制解调器。调制解调器主要包括码型转换和 PSK调制模块的设计,解调采用差分相干解调,所有设计基于VHDL语言编程,整个系统的功能在 Max+plusI

6、I上调试通过,并在芯片上硬件实现,具有较好的实用性和可靠性。关键词:FPGA;VHDL;DPSK;调制;解调AbstractModem technology has been the soul of communication systems and its performance directly affects the quality of Communication Systems.With the development of the digital technology,digital modulation and demodulation technology is being u

7、sed widely.With the development of software radio,the design method integrating whole system into one chip shows us great potential .Based on this idea ,the paper introduces us a digital DPSK modem realized on one FPGA chip. Based on the plat form of MAX+PLUSII of ALTERA,the whole design adopts FPGA

8、 chips.This design uses a top-down design method, the main idea is to divide the digital system modules. This article focuses on modem of DPSK specific implementation of each module and the corresponding VHDL program. Top-level design of each module is referenced as a component, therefore, they need

9、 to be designed to form an independent entity.MAX+plusII has been a platform for the design. The paper has the VHDL procedure from the structure of the FPGA chip and has operated the procedure. The result indicates our designing is qualified,and this designing has reached the anticipated goal basica

10、lly. We designed and implemented a 2DPSK Modem based on the FPGA technology. The modulator primarily includes code-conversion and PSKmodulator module designs. The modem adopts differential coherent demodulation . Programming for all the designs is in VHDL. The functions of the entire system passed t

11、ests performed on Max+plusII and were hardware implemented chip, bringing high practicability and reliability .Key words: FPGA; VHDL; DPSK; modulation ; demodulation;引 言现代通信系统要求通信距离远、通信容量大、传输质量好。作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向。一个系统的通信质量, 很大程度上依赖于所采用的调制方式1。因此,对调制方式的研究, 将直接决定通信系统质量的好坏。随着超大规模集成电路的发展, 尤其

12、是微电子技术和计算机技术的迅猛发展和广泛应用, 数字化成为目前通信技术发展的趋势, 它具有可靠性高、灵活性强、易大规模集成等优点, 日益受到重视。目前, 数字化的手段主要有专用集成电路(ASIC)和通用数字信号处理器(DSP)。现场可编程门阵列( FPGA)提供了实现数字信号处理的第三种解决方案, 它结合了以上两种方式的优势, 具有开发周期短、设计方案修改方便、成本低、投资不存在风险问题等。随着通信技术日新月异的发展,尤其是数字通信的快速发展,越来越普及,研究人员对其相关技术投入了极大的兴趣。为使数字信号能在带通信道中传输,必须用数字信号对载波进行调制,其调制方式与模拟信号调制相类似。根据数字

13、信号控制载波的参量不同也分为调幅、调频和调相三种方式。因数字信号对载波参数的调制通常采用数字信号的离散值对载波进行键控,故这三种数字调制方式被称为幅移键控(ASK)、频移键控(FSK)和相移键控(PSK)2。数字信号对载波相位调制称为相移键控(或相位键控),即PSK(Phase-Shift Keying)。数字相位调制是用数字基带信号控制载波的相位,使载波的相位发生跳变的一种调制方式。PSK调制解调器是卫星通信的重要设备,在调制解调器中解调基带算法与工程实现一直是国内研究的重点与难点。也是近年来应用日趋广泛的载波传输方式。PSK分为绝对相移和相对相移。由于绝对移相方式存在相位模糊问题,所以在实

14、际中主要采用相对移相方式。它具有一系列独特的优点,目前已经广泛应用于无线通信中,成为现代通信中一种十分重要的调制解调方式。FPGA器件是八十年代中期出现的一种新概念,是倍受现代数字系统设计工程师欢迎的新一代系统设计方式。FPGA器件可反复编程,重复使用,没有前期投资风险,且可以在开发系统中直接进行系统仿真,也没有工艺实现的损耗。因此在小批量的产品开发、研究场合,成本很低。基于DSP&FPGA 嵌入式系统不仅具有其他微处理器和单片机嵌入式系统的优点和技术特性,而且还可能用并行算法操作,具有高速数字信号处理的能力,为实现系统的实时性提供了有利的支持,DSP&FPGA单片机系统必将成为现代电子技术,

15、计算机技术和移动通信技术的重要支柱3。本设计主要实现基于FPGA的DPSK载波传输的数字通信系统。与模拟通信系统相比,数字调制和解调同样是通过某种方式,将基带信号的频谱由一个频率位置搬移到另一个频率位置上去。不同的是,数字调制的基带信号不是模拟信号而是数字信号。在大多数情况下,数字调制是利用数字信号的离散值实现键控载波,对载波的幅度,频率或相位分别进行键控,便可获得ASK、FSK、PSK等。这三种数字调制方式在误码率,要求信噪比和抗噪声性能等方面,以PSK性能最佳,因而,PSK在中、高速传输数据时得到广泛应用4。在本设计中,我们研究基于FPGA的DPSK载波调制解调的实现。在设计过程中,通过对

16、通信原理的回顾和总结,以及查阅相关的信源信道编码以及模数,数模转换相关知识,达到设计有线相移载波传输的目的,在不断学习新的知识以及归纳复习老的知识的同时,提高自身对电子线路的设计能力。DPSK作为一种在通讯领域广泛采用的调制技术。由于DPSK的诸多优点,DPSK技术被大量使用,一般来说,因为信号波形间的相关性导致了DPSK中错误的传播(相邻码元之间),所以DPSK信号的效率要低于PSK。造成PSK和DPSK这种差异的原因是,前者是将接收信号与原始的无噪声干扰的参考信号比较,而后者则是两个含噪信号之间的比较。因此, DPSK误码率大约为PSK的2倍,随着信噪比的增加,这种恶化程度也迅速增加。但是

17、性能的损失换来了系统复杂性的降低,而且性能的损失完全可以在技术上弥补。此外,在PSK的解调过程中有可能会出现相位模糊,即相干载波的相位与已调信号反相出现倒现象,致使在接收端无法正确地解调出原始信号。因此,就出现了DPSK调制方式。VHDL程序的设计是本文最重要的部分。VHDL硬件描述语言的FPGA技术在电子信息工程领域具有重要作用,VHDL作为当今非常流行的硬件描述语言,已经随着可编程逻辑器件在国内迅猛发展,深深吸引了广大电子硬件工程师。用VHDL编程实现传统的电路功能已经成为广大电子硬件工程师的首选。用VHDL硬件描述语言做电路设计具有开发周期短、设计易于修改、电路简单、成本低廉等优点,对那

18、些外形结构要求小巧的微电子系统,可以直接利用FPGA器件的可编程特性来大大减少芯片的使用量,从而提高外形结构设计灵活性和系统可靠性5。1 VHDL概述1.1 硬件描述语言(VHDL)1.1.1 VHDL语言的发展EDA技术是现代电子设计技术的核心。它以EDA软件工具为开发环境,采用硬件描述语言(HDL),以可编程器件为实验载体,以实现源代码编程,自动逻辑编译,逻辑简化,逻辑综合,布局布线,逻辑优化和仿真功能等,并以ASIC,SOC芯片为目标器件,是以电子系统设计为应用方向的电子产品自动化的设计技术6。现代计算机技术和微电子技术进一步发展和结合使得集成电路的设计出现了两个分支。一个是传统的更高集

19、成度的集成电路的进一步研究;另一个是利用高层次的VHDL/VerilogHDL等硬件描述语言对新型器件FPGA/CPLD进行专门的设计,使之成为专用集成电路(ASIC),这不仅大大节省了设计和制造的时间,而且设计者必考虑集成电路制造工艺,对设计者的利用十分方便,现已成为系统及产品设计的一项新技术7。在HDL(硬件描述语言)形成发展之前,已经有了许多程序语言,如汇编、C语言等。这些语言运行在不同的硬件平台和操作环境中,它们适合于描述过程和算法,不适合做硬件描述。任何一种EDA工具,都需要一种硬件描述语言来作为其工作语言。这些众多的EDA工具软件开发者,各自推出了自己的HDL。此后,便出现了标准的

20、VHDL语言。VHDL是Very-High-Speed Integrated Circuit Hardware Language 的缩写,最初是美国国防部为其超高速集成电路研究计划(VHSIC)提出的硬件描述语言,作为各合同商之间提交复杂电路设计文档的一种标准方案;1985年完成了该标准方案的第一版,1987年成为IEEE标准,即IEEE-1076标准(VHDL87)。1988年,美国国防部规定所有官方的ASIC设计必须以VHDL为设计描述语言(美国国防部标准MIL-STD-454L)。1993年,IEEE对VHDL标准作了若干修改和更新,从更高的抽象层次和系统描述能力上扩展VHDL的内容,升

21、级为新的IEEE-1164(VHDL93)。1996年,IEEE将电路综合的标准程序与规格VHDL,成为VHDL综合标准IEEE-1076.3。一个完整的VHDL程序通常包括实体(Entity)、结构体(Architecture)、配置(Configuration)、程序包(Package)和库(Library)五个部分8。其基本结构如图1所示。图1 VHDL程序的结构VHDL作为EDA的重要组成部分,提供了借助计算机进行数字系统设计的一种很好的手段,用VHDL设计有许多优点,它的硬件描述能力强,可以用于从门级、电路级直至系统级的描述、仿真、综合和调试。利用VHDL丰富的仿真语句和库函数,对大

22、系统在设计的早期可在远离门级的高层次上进行模拟,以利于设计者确定整个设计的结构和功能的可行性。VHDL强大的行为描述能力和程序结构,使其具有支持大规模设计进行分解,以及对已有的设计进行再利用的功能,运用VHDL设计系统硬件具有相对独立性,设计时没有嵌入与工艺有关的信息,对硬件的描述与具体的工艺技术和硬件结构无关;当门级或门级以上的描述通过仿真检验以后,再用相应的工具将设计映射成不同的工艺,这使硬件实现的目标器件有很宽的选择范围,并且修改电路与修改工艺相互之间不会产生不良影响。VHDL标准、规范,语法较为严格,采用VHDL的设计便于复用和交流,VHDL所具有的类属描述语句和子程序调用等功能,使设

23、计者对完成的设计,不必改变源程序,只需改变类属参数或函数,就可以改变设计的规模与结构9。我们知道VHDL作为IEEE的工业标准硬件描述语言之一,得到了众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言,它相对于ABEL-HDL语言的功能更加强大。ABEL-HDL从结构上来说没有VHDL清晰,可读性也要稍微差一些。综合以上的分析说明,从可读性以及我们对语言的理解程度,选择VHDL语言编程利于各种水平学者进行设计,其实就两种语言本身而言区别并不是很大,有很多的描述语句都是一样的,目前很多的工程技术人员在设计时两种语言都有使用,我们在仿真时可以用两种语言分别尝试一下,这样就能更好的

24、理解他们之间的细微差别。1.1.2 VHDL语言的特点当电路系统采用VHDL语言设计硬件时,具有如下的特点10:(1)采用自上而下的设计方法即从系统总体要求出发,自上而下的逐步将设计的内容细化,最后完成系统硬件的整体设计。在设计的过程中,对系统自上而下分成“行为描述”,“RTL方式描述”,“逻辑综合”三个层次进行设计。(2)系统可大量采用PLD芯片由于目前众多制造PLD芯片的厂家,其工具软件均支持VHDL的编程,所以利用VHDL设计数字系统时,可以根据硬件电路的设计需要,自行利用PLD设计采用的ASIC芯片,而无需受通用元器件的限制。(3)采用系统早期仿真从自上而下的设计过程中可以看到,在系统

25、设计过程中要进行三级仿真,即行为层次仿真、RTL层次仿真和门级层次仿真。这三级仿真贯穿着系统设计的全过程,从而可以在系统设计的早期发现设计中存在的问题。(4)降低了硬件电路设计难度在传统的设计方法中,往往要求设计者在设计电路之前写出该电路的逻辑表达式或真值表,这一工作是相当困难和繁杂的。而VHDL设计硬件电路时,设计者不必编写逻辑,从而大大降低了设计的难度。(5)主要设计文件是用VHDL编写的源程序使用VHDL源程序有许多好处:其一是资料量小,便于保存;其二是可继承性好,当设计其它硬件电路时,可使用文件中的某些库、进程和过程等某些局部硬件电路的程序;其三是阅读方便,阅读程序比阅读电路原理图更容

26、易些,阅读者很容易在程序中看出某一电路的工作原理和逻辑关系。一个VHDL程序有三部分构成:第一部分,列出设计此程序要用的的库文件和程序包。第二部分,设计实体(entity),对系统的名称和输入输出端口进行声明。第三部分,构造体(architecture),同一实体的行为允许用多种不同描述方式的构造体来实现,这里是VHDL建模中最重要的部分,这里的设计思路决定了最终的效果。1.1.3 VHDL语言的建模VHDL语言可以对很多种数字系统进行建模,涵盖从组成元件的低级们电路到顶层功能单元的宽广领域。对较大的数字系统作为一个整体进行研究是极其复杂的,因此需要借助一些有效的方法来对这种复杂数字系统进行简

27、单化,这种有效的方法就是系统化的设计方法。系统化的设计方发总是从一个设计要求开始。首先,通过设计一个抽象结构来满足设计要求;然后,把该结构分解为一系列元件并通过互练来完成相同的功能;接着,每一个元件再被分解直至找到已有的能完成于最底层元件同样功能的图元为止;最终,所获得的结果恰好是一个以图元建造的分层复合系统。着中国方法的优点是每一个元件或称子系统都能够被独立地设计。并且在使用子系统时,可以把它只作为一个抽象结构看待而不必考虑它的细节。因此,在设计进程的任一阶段,仅需关注与当前设计有关的少量信息,这就避免了处理大量细节信息的麻烦。在VHDL建模中,我们用模型(model)这个术语来表示我们对一

28、个系统的理解,这意味着同一系统有几种形式的模型,并且每一模型都表示了不同方面的系统特点,例如,模型1用于表示系统的行为;模型2用于表示由子系统互连组成该系统的方式,即互连结构。再规范一下这个模型的概念:(1) 当要求设计一个数字系统时,设计要求必须是具体的;(2) 要求能把系统行为的说明传递给用户;(3) 要求允许通过模拟进行设计测试和验证;(4) 要求允许对一个设计的正确性进行形式验证;(5) 要求允许自动综合电路。综上所述,我们有一个共同的目的就是想要在设计进程中用最少的花费和左手的时间获得最大的可靠性。因为同一个系统可以设计成不同的模型,这里就需要对模型进行分类。通常,将这些模型分为三个

29、领域,即行为领域、结构领域和几何领域。所以在VHDL程序中的结构体就有三中描述方式:行为描述、数据流描述和结构化描述。需要指出,在实际工程中,无论哪个领域,各级之间的边界都是难以界定的,因为它们经常是重叠的。实际上,行为领域之间也存在着模型混合使用的情况。不过任何设计最终实现都是一个物理实现。一个VHDL程序有三部分构成:第一部分,列出设计此程序要用的的库文件和程序包。第二部分,设计实体(entity),对系统的名称和输入输出端口进行声明。第三部分,构造体(architecture),同一实体的行为允许用多种不同描述方式的构造体来实现,这里是VHDL建模中最重要的部分,这里的设计思路决定了最终

30、的效果。1.1.4 VHDL的设计流程通常,一个VHDL设计总是从对一个数字系统提出设计要求或指标开始。根据自顶向下的设计原则,首先需要对系统进行行为描述,即建立顶层的行为模型,并模拟验证描述的系统性能是否满足要求。然后,把满足要求的顶层行为模型划分为若干子结构,即子系统,并重复上述建模和模拟验证的过程直至设计的最底层。接着,把所有子结构的行为描述转为寄存器传输级RTL(register transfer level)描述,并模拟验证。最后,对通过RTL模拟的设计进行逻辑综合来产生门级网表文件。注意,VHDL是全部可模拟的,但不是全部可综合的。一般情况下,逻辑综合算法都能够优化RTL电路描述并

31、形成一项具体的工艺。但分割和适配算法至今仍不够强壮一适应各种情况对高度抽象描述下的电路优化。因此,综合一个VHDL描述其结果酱依赖于描述风格。由门级网表文件实现硬件有两种方案:其一是由自动布线程序将网表转换成相应的ASIC(application specific integrated circuit)芯片的制造工艺,做出ASIC芯片;其二是将网表转换成FPGA(field programmable gate array)的编程码点数据,在下载至FPGA芯片来完成硬件设计。最后,还需要对设计出的ASIC芯片或FPGA芯片的实际功能进行系统验证。根据以上说明,一个自顶而下的VHDL设计流程如图2

32、所示。图2 VHDL设计流程图1.1.5 支持VHDL研发的软件工具提供VHDL研发工具的公司有许多,在它们中间即有大型的EDA软件公司,例如:Cadence、Mentor Graphics和Synopsys,也有一些专业的课编程器件生产商,例如Altera和Xilinx等。这些VHDL工具从功能上可划分为两类,其中一类是综合工具,而另一类是模拟验证工具。当然,二者经常是集成在同一EDA工具之内的。下表列举了部分VHDL研发工具。表1 VHDL工具清单公司名称模拟验证工具综合工具CadenceNC VHDLEncounterMentor GraphicsModelsimLeonardo Spe

33、ctrumSynopsysVCSDC FPGAAlteraMAX+PLUS 或Quartus XilinxFoundation Express Elite虽然Altera和Xilinx公司提供的工具都是面向它们自己的器件库,但也支持标准VHDL源代码设计及网表文件的输入和输出。1.2 MAX+PLUSII简介Max+plus是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一

34、种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。本次设计选用的开发环境MAX+PLUSII,其全称为Multiple Array and Programmable Logic User Systems11。1.2.1MAX+PLUSII的特点(1)开放的界面。MAX+plus软件可以其它工业标准的设计输入、综合与校验工具相连接。目前MAX+plus支持与Candence、Exemplarlogie、Synopsys、Synplicity、Viewlogic等其它公司所提供的EDA工具接口。(2)与结构无关。MAX+plus系统的核心Compiler支持Altera公司

35、的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAXS000和Classic可编程器件,提供了真正与结构无关的可编程设计环境。MAX+ plus的Compiler还提供了强大的逻辑综合与优化功能,使用户比较容易地将起设计集成到器件中。(3)多平台。MAX+ plus软件可基于486、奔腾PC的Windows NT351或40Windows9x下运行,也可在Sun SPAC station,HP 9000 Series700800和IBM RISC Systenr6000工作站上运行。(4)完全集成化。MAX+ plus软件的设计输入、处理与校验功能全部集成在统一的开发环

36、境下,这样可以加快调试、缩短设计周期。(5)丰富的设计库。MAX+ plus提供了丰富的库单元,其中包括74系列的全部器件和多种特殊的逻辑宏功能(MacroFunction) 以及参数化的兆功能(MageFunction)供设计者调用,大大减轻了设计者的工作量,缩短了设计周期。(6)模块化工具。设计者可以从各种设计输入、处理和校验选项中进行选择从而使设计环境用户化,必要时,还可以根据需要添加新功能。由于MAX+ plus支持多种器件,设计者不必学习新的工具就可支持新的结构。(7)支持多种硬件描述语言。MAX+ plus 软件支持多种硬件描述语言设计输入选项,包括VHDL、Verilog HDL

37、和AHDL语言。1.2.2 Max+plus设计流程使用Max+plus软件设计流程由以下几部分组成。如图3所示。(1)设计输入:可以采用原理图输入、HDL语言描述、EDIF网表输入及波形输入等几种方式。设计输入修改设计在系统测试编译仿真与定时分析编程图3 开发流程图(2)编译:先根据设计要求设定编译参数和编译策略,如器件的选择、逻辑综合方式的选择等。然后根据设定的参数和策略对设计项目进行网表提取、逻辑综合和器件适配,并产生报告文件、延时信息文件及编程文件,供分析仿真和编程使用。(3)仿真:仿真包括功能仿真、时序仿真和定时分析,可以利用软件的仿真功能来验证设计项目的逻辑功能是否正确。(4)编程

38、与验证:用经过仿真确认后的编程文件通过编程器(Programmer)将设计下载到实际芯片中,最后测试芯片在系统中的实际运行性能。在设计过程中,如果出现错误,则需重新回到设计输入阶段,改正错误或调整电路后重复上述过程。2 载波传输系统原理2.1 载波传输系统的基本构成一个实际可行的载波通信系统,至少应该包含三部分,信源、信道、信宿12。1、信源:针对信号进行调制,增强其远距离传输和抗干扰能力。2、信道:即信号传输的信道,可以是光纤,电缆等有线媒介,也可以是空气这类无线传输媒介。3、信宿:对接收到的信号进行解调,提取出有用的信号。2.2 PSK载波传输系统调制原理2.2.1 数字调制数字调制的概念

39、:用二进制(多进制)数字信号作为调制信号,去控制载波某些参量的变化,这种把基带数字信号变换成频带数字信号的过程称为数字调制,反之,称为数字解调13。(1)数字调制系统的基本结构 图4 数字调制系统的基本结构(2)数字调制的性能指标 数字通信系统的有效性可用传输速率和频带利用率来衡量。码元传输速率,又称为码元速率或传码率。其定义为单位时间传送码元的数目,单位为波特,常用符号B表示。但是,码元速率仅仅表征单位时间传输码元的数目,而没有限定这时的码元是何种进制根据码元速率的定义,若每个码远的长度为T秒,则有 (2-1)信息传输速率,又称为比特率或传信率。通常定义单位时间内传递的平均信息量或比特数,单

40、位是比特/秒(bit/s或bps)。码元速率和信息速率有以下的对应关系 : (2-2)频带利用率数字通信传输系统的频带利用率定义为:所传输的信息速率(或符号速率)与系统带宽之比值,可表示为: (2-3)其单位为bit/s/HZ(或为Baud/Hz).数字通信系统的可靠性可用差错率来衡量差错率是衡量系统正常工作时,传输消息可靠程度的重要性能指标。差错率有两种表述方法:(1)误码率: 是指错误接收的码元数在传送总码元数中所占的比例,或者更确切地说,误码率是码元在传输系统中被传错的概率。 (2-4)(2)误信率:又称误比特率,是指错误接收的信息量在传送信息总量中所占的比例,或者说,它是码元的信息量在

41、传输系统中被丢失的概率。 (2-5)在二进制中,=。2.2.2 二进制相移键控(PSK)的调制(1)PSK信号的产生图5 PSK信号的产生方式以及波形示例一个二进制的PSK信号可视为一个双极性脉冲序列s(t)与一个载波的乘积,即: (2-6)也可以写成: (2-7)数字调相波可以用矢量图表示其相位变化的规则,根据CCITT规定,存在A、B两种表示相位变化的矢量图,如图6所示。图中的虚线表示参考矢量,它代表未调制载波的相位。图6 二相移相信号矢量图 (2)PSK信号的功率谱特性:2PSK信号的功率谱密度采用与求2ASK信号功率谱密度相同的方法。PSK信号的功率谱密度为: (2-8)式中,为基带信

42、号的功率谱密度。 当0、1等概出现时,双极性基带信号功率谱密度为: (2-9)则2PSK信号的功率谱密度为: (2-10)图7 PSK信号功率谱密度图8 双极性基带功率谱密度PSK信号谱,形状为,以为中心的DSB谱PSK信号传输带宽 (取主瓣宽度) (2-11)2.3 差分相移键控DPSK调制2.3.1 差分相移键控差分相移键控(Differential Phase Shift Keying,DPSK)是一种最常用的相对调相方式,采用非相干的相移键控形式。它不需要在接收机端有相干参考信号,而且非相干接收机容易实现,价格便宜,因此在无线通信系统中广泛使用。DPSK作为一种在通讯领域广泛采用的调制

43、技术。由于DPSK的诸多优点,DPSK技术被大量使用,一般来说,因为信号波形间的相关性导致了DPSK中错误的传播(相邻码元之间),所以DPSK信号的效率要低于PSK。造成PSK和DPSK这种差异的原因是,前者是将接收信号与原始的无噪声干扰的参考信号比较,而后者则是两个含噪信号之间的比较。因此, DPSK误码率大约为PSK的2倍,随着信噪比的增加,这种恶化程度也迅速增加。但是性能的损失换来了系统复杂性的降低,而且性能的损失完全可以在技术上弥补。此外,在PSK的解调过程中有可能会出现相位模糊,即相干载波的相位与已调信号反相出现倒现象,致使在接收端无法正确地解调出原始信号。因此,就出现了DPSK调制

44、方式。2.3.2 DPSK调制原理差分相移键控(DPSK)是利用相邻二个码元的载波信号初始相位的相对变化来表示所传输的码元。所谓相位变化,又有向量差和相位差两种定义方法。向量差是指前一码元的终相位与本码元初相位比较,是否发生了相位的变化,而相位差是值前后两码元的初相位是否发生了变化。按向量差和相位差画出的DPSK波形是不同的。但是绝对移相波形规律比较简单,而相对移相波形规律比较复杂。当有加性高斯白噪声时,平均错误概率如下所示为: (2-12)2DPSK同样存在A、B方式矢量图,图中虚线表示的参考矢量代表前一个码元已调载波的相位。B方式下,每个码元的载波相位相对于参考相位可取,所以其相邻码元之间

45、必然发生载波相位的跳变,接收端可以据此确定每个码元的起止时刻(即提供码元定时信息),而A方式却可能存在前后码元载波相位连续。图9 2DPSK同样存在A、B方式矢量图2.4 绝对码相对码(差分编码)绝对码和相对码之间的关系为: (2-13)若定义为2DPSK方式下本码元初相与前一码元初相之差,并设相“1”、 0相“0”,为了比较,设2PSK方式下相“0”、 0相“1”,则数字信息序列与2PSK、2DPSK信号的码元相位关系如表2所示。表2 2PSK、2DPSK信号的码元相位关系数字码元101100101已调载波每个码元相位2psk000002dpsk00000000000000相对码(1)1001000110(2)0110111001图10 对码相对码相位比较2.5 DPSK载波传输系统解调原理2.5.1 相干解调法(1)输入DPSK信号经过带通滤波器后,加到乘法器,乘法器将输入信号与载波极性进行比较。极性比较电路符合绝对移相定义(因绝对移相信号的相位是相对于载波而言的),经低通和判决电路后,还原的是相对码。要得到原基带信号,还必须经过相对码绝对码变换器。不难看出,极性比较原理是将DPSK信号与参考载波进行相位比较,恢复出相对码,然后进行查分译码,由相对码还原成绝对码,得到原绝对码基带信号。(2)DPSK解

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 办公文档 > 其他范文


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号