[其它]文献综述.doc

上传人:sccc 文档编号:4663448 上传时间:2023-05-05 格式:DOC 页数:34 大小:624KB
返回 下载 相关 举报
[其它]文献综述.doc_第1页
第1页 / 共34页
[其它]文献综述.doc_第2页
第2页 / 共34页
[其它]文献综述.doc_第3页
第3页 / 共34页
[其它]文献综述.doc_第4页
第4页 / 共34页
[其它]文献综述.doc_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《[其它]文献综述.doc》由会员分享,可在线阅读,更多相关《[其它]文献综述.doc(34页珍藏版)》请在三一办公上搜索。

1、如何撰写课程综述一、何为综述?顾名思义由“综”和“述”两部分组成。综:根据所查阅大量的文献进行综合的归类、提炼、概括述:融入作者自己理论水平、专业基础、分析问题、解决问题的能力,在对问题进行合情合理的剖析基础上,提出自己独特的见解。是“综述”质量高下的分界线二、课程综述对课程内容某一方面的专题搜集大量情报资料后经综合分析而写成的一种学术论文,它是科学文献的一种。课程综述是反映当前某一领域中某分支学科或重要的技术领域最新进展、学术见解和建议,它往往能反映出有关问题的新动态、新趋势、新水平、新原理和新技术等等。 要求同学们学写课程综述的好处: 通过搜集文献资料过程,可进一步熟悉本学科领域文献的查找

2、方法和资料的积累方法;在查找的过程中同时也扩大了知识面; 查找文献资料、写课程综述是科研选题及进行科研的第一步,因此学习文献综述的撰写也是为今后科研活动打基础的过程; 通过综述的写作过程,能提高归纳、分析、综合能力,有利于独立工作能力和科研能力的提高;课程综述选题,题目可大可小,可难可易。在系统编程技术课程综述综述EDA技术综述现代电子设计技术综述在系统编程技术综述可编程逻辑器件综述硬件描述语言三、主要内容:(1) 该领域的学习意义。(2) 该领域背景知识和发展脉络。(3) 目前的应用水平、存在问题及可能的原因。(4) 进一步的研究课题、发展方向概况。(5) 自己的见解和感想。四、写作格式:四

3、部分 前言、正文、总结、参考文献前言:说明写作的目的介绍有关的概念、定义、综述的范围 明确有关主题的现状使读者对全文要叙述的问题有一个初步的轮廓。 正文:综述的主体写法: 可按年代顺序综述,也可按不同的问题进行综述,还可按不同的观点进行比较综述,将所搜集到的文献资料归纳、整理及分析比较,阐明有关主题的历史背景、现状、发展方向,对这些问题的评述,主题部分应特别注意代表性强、具有科学性和创造性的文献引用和评述。 总结:对全文主题进行扼要总结,对所综述的主题有研究的作者,最好能提出自己的见解。 参考文献:综述的重要组成部分表示对被引用文献作者的尊重及引用文献的依据为读者深入探讨有关问题提供了文献查找

4、线索五、难点既高屋建瓴,又脚踏实地EDA技术的概念 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门

5、广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。 EDA设计可分为系统级、电路级和物理实现级。 2 EDA常用软件 EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可

6、以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。 2.1 电子电路设计与仿真工具 我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。 说到电子电路设计与仿真工具这项技术,就不

7、能不提到美国,不能不提到他们的飞机设计为什么有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设计到风洞试验再到最后出图到实际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢?因为美国在设计时大部分采用的是虚拟仿真技术,把多年积累的各项风洞实验参数都输入电脑,然后通过电脑编程编写出一个虚拟环境的软件,并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经验参数。这样一来,只要把飞机的外形计数据放入这个虚拟的风洞软件中进行试验,哪里不合理有问题就改动那里,直至最佳效果,效率自然高了,最后只要再在实际环境中测试几次找找不足就可以定型了,从他们的波音747到F16都是

8、采用的这种方法。空气动力学方面的数据由资深专家提供,软件开发商是IBM,飞行器设计工程师只需利用仿真软件在计算机平台上进行各种仿真调试工作即可。同样,他们其他的很多东西都是采用了这样类似的方法,从大到小,从复杂到简单,甚至包括设计家具和作曲,只是具体软件内容不同。其实,他们发明第一代计算机时就是这个目的(当初是为了高效率设计大炮和相关炮弹以及其他计算量大的设计)。 电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。 SPI

9、CE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE(Personal-SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同

10、时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。 multiSIM(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦

11、特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑平笔等。同时它还

12、能进行VHDL仿真和Verilog HDL仿真。 MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和MATLAB语言编程产生独立C/C+代码等功能。MATLAB产品族具有下列功能:数据分析;数值和符号计算、工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。MATLAB产品族被广泛应用于信号与图像处理、控制系统设计、通讯系统仿真等诸多领域。开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从

13、而在不断深化对问题的认识同时,提高自身的竞争力。 2.2 PCB设计软件 PCB(Printed-Circuit Board)设计软件种类很多,如Protel、OrCAD、Viewlogic、PowerPCB、Cadence PSD、MentorGraphices的Expedition PCB、Zuken CadStart、Winboard/Windraft/Ivex-SPICE、PCB Studio、TANGO、PCBWizard(与LiveWire配套的PCB制作软件包)、ultiBOARD7(与multiSIM2001配套的PCB制作软件包)等等。 目前在我国用得最多当属Protel,下

14、面仅对此软件作一介绍。 Protel是PROTEL(现为Altium)公司在20世纪80年代末推出的CAD工具,是PCB设计者的首选软件。它较早在国内使用,普及率最高,在很多的大、中专院校的电路专业还专门开设Protel课程,几乎所在的电路公司都要用到它。早期的Protel主要作为印刷板自动布线工具使用,其最新版本为Protel DXP,现在普遍使用的是Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有Client/Ser

15、ver(客户/服务体系结构), 同时还兼容一些其它设计软件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多层印制线路板的自动布线,可实现高密度PCB的100%布通率。Protel软件功能强大(同时具有电路仿真功能和PLD开发功能)、界面友好、使用方便,但它最具代表性的是电路设计和PCB设计。 2.3 IC设计软件 IC设计工具很多,其中按市场所占份额排行为Cadence、Mentor Graphics和Synopsys。这三家都是ASIC设计领域相当有名的软件供应商。其它公司的软件相对来说使用者较少。中国华大公司也提供ASIC设计软件(熊猫2000);另外近来出名的Avanti公司

16、,是原来在Cadence的几个华人工程师创立的,他们的设计工具可以全面和Cadence公司的工具相抗衡,非常适用于深亚微米的IC设计。下面按用途对IC设计软件作一些介绍。 设计输入工具 这是任何一种EDA软件必须具备的基本功能。像Cadence的composer,viewlogic的viewdraw,硬件描述语言VHDL、Verilog HDL是主要设计语言,许多设计输入工具都支持HDL(比如说multiSIM等)。另外像Active-HDL和其它的设计输入方法,包括原理和状态机输入方法,设计FPGA/CPLD的工具大都可作为IC设计的输入手段,如Xilinx、Altera等公司提供的开发工具

17、Modelsim FPGA等。 设计仿真工作 我们使用EDA工具的一个最大好处是可以验证设计是否正确,几乎每个公司的EDA产品都有仿真工具。Verilog-XL、NC-verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模拟电路仿真。Viewlogic的仿真器有:viewsim门级电路仿真器,speedwaveVHDL仿真器,VCS-verilog仿真器。Mentor Graphics有其子公司Model Tech出品的VHDL和Verilog双仿真器:Model Sim。Cadence、Synopsys用的是VSS(VHDL仿真器)。现在的趋

18、势是各大EDA公司都逐渐用HDL仿真器作为电路验证的工具。 综合工具 综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit,据说比Synopsys的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence放弃了它原来的综合软件Synergy。随着FPGA设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Synopsy

19、s的FPGA Express, Cadence的Synplity, Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 布局和布线 在IC设计的布局布线工具中,Cadence软件是比较强的,它有很多产品,用于标准单元、门阵列已可实现交互布线。最有名的是Cadence spectra,它原来是用于PCB布线的,后来Cadence把它用来作IC的布线。其主要工具有:Cell3,Silicon Ensemble-标准单元布线器;Gate Ensemble-门阵列布线器;Design Planner-布局工具。其它各EDA软件开发公司也提供各自的布局布线工具。 物理验证工具

20、物理验证工具包括版图设计工具、版图验证工具、版图提取工具等等。这方面Cadence也是很强的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。 模拟电路仿真器 前面讲的仿真器主要是针对数字电路的,对于模拟电路的仿真工具,普遍使用SPICE,这是唯一的选择。只不过是选择不同公司的SPICE,像MiceoSim的PSPICE、Meta Soft的HSPICE等等。HSPICE现在被Avanti公司收购了。在众多的SPICE中,HSPICE作为IC设计,其模型多,仿真的精度也高。 2.4 PLD设计工具 PLD(Programmable Logic Device)是一种由用

21、户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)。它们的基本设计方法是借助于EDA软件,用原理图、状态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或下载电缆,由目标器件实现。生产PLD的厂家很多,但最有代表性的PLD厂家为Altera、Xilinx和Lattice公司。 PLD的开发工具一般由器件生产厂家提供,但随着器件规模的不断增加,软件的复杂性也随之提高,目前由专门的软件公司与器件生产厂家使用,推出功能强大的设计软件。下面介绍主要器件生产厂家和开

22、发工具。 ALTERA:20世纪90年代以后发展很快。主要产品有:MAX3000/7000、FELX6K/10K、APEX20K、ACEX1K、Stratix等。其开发工具-MAX+PLUS II是较成功的PLD开发平台,最新又推出了Quartus II开发软件。Altera公司提供较多形式的设计输入手段,绑定第三方VHDL综合工具,如:综合软件FPGA Express、Leonard Spectrum,仿真软件ModelSim。 ILINX:FPGA的发明者。产品种类较全,主要有:XC9500/4000、Coolrunner(XPLA3)、Spartan、Vertex等系列,其最大的Vert

23、ex-II Pro器件已达到800万门。开发软件为Foundation和ISE。通常来说,在欧洲用Xilinx的人多,在日本和亚太地区用ALTERA的人多,在美国则是平分秋色。全球PLD/FPGA产品60%以上是由Altera和Xilinx提供的。可以讲Altera和Xilinx共同决定了PLD技术的发展方向。 Lattice-Vantis:Lattice是ISP(In-System Programmability)技术的发明者。ISP技术极大地促进了PLD产品的发展,与ALTERA和XILINX相比,其开发工具比Altera和Xilinx略逊一筹。中小规模PLD比较有特色,大规模PLD的竞争

24、力还不够强(Lattice没有基于查找表技术的大规模FPGA),1999年推出可编程模拟器件,1999年收购Vantis(原AMD子公司),成为第三大可编程逻辑器件供应商。2001年12月收购Agere公司(原Lucent微电子部)的FPGA部门。主要产品有ispLSI2000/5000/8000,MACH4/5。 ACTEL:反熔丝(一次性烧写)PLD的领导者。由于反熔丝PLD抗辐射、耐高低温、功耗低、速度快,所以在军品和宇航级上有较大优势。ALTERA和XILINX则一般不涉足军品和宇航级市场。 Quicklogic:专业PLD/FPGA公司,以一次性反熔丝工艺为主,在中国地区销售量不大。

25、 Lucent:主要特点是有不少用于通讯领域的专用IP核,但PLD/FPGA不是Lucent的主要业务,在中国地区使用的人很少。 ATMEL:中小规模PLD做得不错。ATMEL也做了一些与Altera和Xilinx兼容的片子,但在品质上与原厂家还是有一些差距,在高可靠性产品中使用较少,多用在低端产品上。 Clear Logic:生产与一些著名PLD/FPGA大公司兼容的芯片,这种芯片可将用户的设计一次性固化,不可编程,批量生产时的成本较低。 WSI:生产PSD(单片机可编程外围芯片)产品。这是一种特殊的PLD,如最新的PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP

26、(在线编程),集成度高,主要用于配合单片机工作。 顺便提一下:PLD(可编程逻辑器件)是一种可以完全替代74系列及GAL、PLA的新型电路,只要有数字电路基础,会使用计算机,就可以进行PLD的开发。PLD的在线编程能力和强大的开发软件,使工程师可以几天,甚至几分钟内就可完成以往几周才能完成的工作,并可将数百万门的复杂设计集成在一颗芯片内。PLD技术在发达国家已成为电子工程师必备的技术。 2.5 其它EDA软件 VHDL语言:超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE的一项标准设计语言。它源于美国国防部提出的超高

27、速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。 Veriolg HDL:是Verilog公司推出的硬件描述语言,在ASIC设计方面与VHDL语言平分秋色。 其它EDA软件如专门用于微波电路设计和电力载波工具、PCB制作和工艺流程控制等领域的工具,在此就不作介绍了。 3 EDA的应用 EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑

28、综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统),为今后工作打下基础。 科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。 在产品设计与制造方面,包括计算机仿真,产品开发中的EDA工具应用、系统级模拟及测试环境的仿真,生产流水线的EDA技术应用、产品测试等各个环节。如PCB的制作、电子设备的研制与生产、电路

29、板的焊接、ASIC的制作过程等。 从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。 4 EDA技术的发展趋势 从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大。 中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PCB制板和小型ASIC领域,仅有小部分(约11%)的设计

30、人员开发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要引进和学习一些最新的EDA技术。 在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便

31、于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方面发展。 外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。 中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。 在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放

32、。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具在各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年夏合增长率分别达到了50%和30%。 EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平仍很有限,尚需迎头赶上。课 程 综 述课程名称 在系统编程技术 任课教师 班级 姓名 学号 日期 EDA包括PCB设计、MCM设计、嵌入式设计、PLD/FPGA设计、标准IC设计、ASIC设计、系统

33、芯片设计、ASSP设计、系统设计等等。EDA是电子产品设计的起点,借助EDA技术可加速设计的完成。甚至,VLSI(超大规模集成电路)的生产制造不借助EDA技术是不可能完成的。同时,生产制造技术的不断进步又必将刺激著EDA工具的发展。 第388期 内容一、前言 一般而言,电子产业主要包括通信工业、信息产业、半导体工业、电子零组件工业、消费性电子工业、光电及仪表工业等。高度发达的信息化社会发展离不开现代电子产品的进步。现代电子产品在性能、电路复杂度和规模上都在不断地提高,而其上市时间也在不断缩短。生产制造技术和电子设计技术的发展是实现现代电子产品进步的主要原因。前者以微细加工技术为代表,其工艺已进

34、展到深亚微米阶段,可在很小的矽芯片上集成数千万甚至上亿个晶体管;後者的核心就是EDA(电子设计自动化)技术。EDA包括PCB设计、MCM设计、嵌入式设计、PLD/FPGA设计、标准IC设计、ASIC设计、系统芯片设计、ASSP设计、系统设计等等。EDA是电子产品设计的起点,借助EDA技术可加速设计的完成。甚至,VLSI(超大规模集成电路)的生产制造不借助EDA技术是不可能完成的。同时,生产制造技术的不断进步又必将刺激著EDA工具的发展。目前,半导体工业是现代EDA 行业增长的主要推动力量,消费类产品系统制造商是EDA供应商的最重要客户,它们的技术需求和经济需求直接影响 EDA行业的兴衰。国内绝

35、大多数的企业是从事整机系统设计和生产的,绝大多数电子产品处於低端,所以多数企业扮演著为国外IC厂商打工的角色。即便是国内少有的几家IC设计和生产企业,在技术上也要落後国外一到两代,其产业规模远没有形成。这种脆弱的规模经济模式,因其产品附加值极低,致使诸多产量世界第一的产品并未为企业带来可观的收益。因此我们要掌握EDA技术,以利於开发更多自主的电子产品。二、历史背景三十多年来,EDA技术经历了计算机辅助设计CAD(Computer Assist Design) 、计算机辅助工程设计CAE(Computer Assist Engineering Design)和电子系统设计自动化ESDA(Elec

36、tronic System Design Automation)三个发展阶段。20世纪70年代,随著中小规模集成电路的出现和应用,传统的手工制图设计PCB和IC的方法已无法满足设计精度和效率的要求,人们开始借助计算机二维平面图形编辑与分析工具进行IC版图编辑和PCB布局布线,从而产生了CAD的概念。受当时计算机工作平台的制约,CAD所支持的设计工作有限且性能比较差。20世纪80年代为CAE阶段。与CAD相比,CAE增加了电路功能设计和结构设计,并且通过电气连接网表将两者结合在一起,以实现工程设计。其主要功能包括原理图输入、逻辑仿真、电路分析、自动布局布线以及PCB後分析。但是,大部分从原理图出

37、发的EDA工具仍然不能适应复杂电子系统设计的要求,而且具体化的元件图形制约著优化设计。20世纪90年代为ESDA阶段。尽管CAD/CAE技术取得了巨大的成功,但在整个设计过程中,自动化和智能化程度不高。各种EDA软件互不兼容,直接影响到设计环节间的衔接。於是,设计师逐步从使用硬件转向设计硬件,从电路级电子产品开发转向系统级电子产品开发。ESDA工具便是以系统级设计为核心,包括系统行为级描述与结构级综合、系统仿真与测试验证、系统划分与指标分配、系统决策与文件生成等一整套的电子系统设计自动化工具。ESDA技术的出现,极大地提高了系统设计的效率,使设计师摆脱了大量的辅助设计工作,把精力集中於创造性的

38、方案与概念构思上,从而极大地提高了设计效率,并缩短了产品的研制周期。三、现代EDA技术的构成ESDA代表了当今电子设计技术的最新发展方向,它的基本特徵是设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,然後采用硬件描述语言(HDL)完成系统行为级设计,最後通过综合器和适配器生成最终的目标器件。这样的设计方法被称为高层次的电子设计方法。下面介绍几个与ESDA基本特徵有关的概念。1.物理工具和逻辑工具 EDA物理工具用来完成设计中的实际物理问题,如芯片布局、印刷电路板布线等。 逻辑工具是基於网表、布尔逻辑、传输时序等概念,首先由原理图编辑器或硬件描述语言进行设计输入,然後利用E

39、DA系统完成综合、仿真、优化等过程,最後生成物理工具可以接受的网表或VHDL、Verilog-HDL的结构化描述。2.Top-down和并行设计方法 现代EDA主要采用并行工程和Top-down(自上而下)的设计方法。 传统的电子设计思路是选择标准集成电路Bottom-Up(自底向上)地构造一个新的系统,这样的设计方法不仅效率低、成本高而且还容易出错。Top-down从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL、Verilog HDL对系统行为进行描述,并对系统进行验证,最後再用逻辑综合优化工具生成具体的门电路网表,这样其对应的物理实现级可以是

40、印刷电路板或专用集成电路。由於设计的主要仿真和调试过程是在高层次上完成的,这不仅有利於早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。传统的串行设计方法是把设计项目划分成许多个可以操作的小块,逐块加以解决。而新的设计方法与传统串行设计方法不同,它要求在网络化的环境下,配备设计进程管理器(服务器)和多个设计客户终端,允许多个设计人员同时在同一公用的数据库平台上,开展并行的设计,软件工具能自动地协调对设计所做的修改,解决因为修改引起的冲突。3.ASIC和PLD 现代电子产品的复杂度日益加深,一个电子系统可能由数万个中小规模集成电路构成,这就带

41、来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用专用集成电路ASIC (Application Specific Integrated Circuits)芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC,半定制ASIC,可编程ASIC。设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最後将设计结果交由IC厂家掩膜制造完成。这样的芯片可以获得最优的性能,但开发周期长,费用高,只适合大批量产品开发。半定制ASIC芯片的版图设计方法与全定制的有所不同,分为门阵列设计法和标准单元设计法。这两种方法都是约束性的设计方法,其主要目的就是简化设计,以

42、牺牲芯片性能为代价以缩短开发时间。可编程ASIC也叫PLD(可编程逻辑器件)。当设计人员完成PLD版图设计後,在实验室内就可以烧制出自己的芯片,而无须IC厂家的参与。PLD自七十年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中CPLD/FPGA属高密度可编程逻辑器件,它将掩膜ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,大大缩短了开发周期,加速了上市时间,特别适合产品的样品开发和小批量生产;而当市场扩大时,它也可以很容易的转由掩膜ASIC实现,因此开发风险也大为降低。上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体

43、。4.HDL HDL(硬件描述语言)是一种用於设计电子硬件系统的计算机语言。它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式。与传统的门级描述方式相比,它更适合大规模系统的设计。1985年美国国防部正式推出了VHDL(超高速集成电路硬件描述语言), 1987年IEEE采纳VHDL为硬件描述语言标准(IEEE STD-1076)。VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。VHDL是目前ASIC设计和PLD设计的一种主要输入工具。另一种与VHDL语言平分秋色的硬件描述语言是Veriolg HDL。四、EDA技术的基本设计

44、方法EDA技术研究的对象是电子设计的全过程,有电路级、芯片级、系统级和物理实现级等不同层次的设计。电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面地了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发时间,也降低了开发成本。在电路级设计中,工程师接受设计任务後,首先确定设计方案,同时选型,然後根据具体器件来设计电路图。接著进行数字电路的逻辑模拟、故障分析、模拟电路的交直流分析、瞬态分析的仿真(Simulation),这属於设计的第一次仿真。第一次仿真主要是检验设计方案的功能正确性。仿真通过後,根据原理图产生的电气连接网表进行PCB板的自动布局

45、布线。而在制作 PCB板之前还可以进行後分析,包括热分析、噪声及串扰分析、电磁兼容分析、可靠性分析等。最後进行第二次仿真,这一次仿真主要是检验PCB板在实际工作环境中的可行性。电路级设计本质上是基於门级描述的单层次设计,设计的所有工作都是在基本逻辑门这一层次上进行的。随著电子产品的复杂度增加和上市时间紧迫,电路级设计方法已不能适应。从而出现了高层次的电子设计方法系统级设计方法。系统级设计是同工业化生产密切相关的行业,它按照市场需求或目标需求开发和生产电子整机产品。系统级设计是概念级的电子产品设计,包括硬件和软件的共同设计,对设计进行分割和编写规范。对需要完成的产品作出更加准确的定义,能使管理人

46、员更加清楚地了解项目的范围、难易程度和费用。相对於电路级设计,设计人员在系统级设计中无须通过原理图描述电路,而是针对设计目标进行功能描述。由於摆脱了电路细节的束缚,设计人员可以把精力集中於创造性的概念构思与方案上。这样,新的概念得以迅速有效地成为产品,大大缩短了产品的研制周期。芯片设计是指集成电路、超大规模集成电路、高端 ASIC的研发和生产。众所周知,芯片级设计是高技术、高投入、高风险、高回报的行业。可以说,谁掌握著芯片设计的主动权,谁就会主导和影响整个世界电子工业和信息产业的发展。而当今的形势是世界上最先进的芯片设计和生产市场都掌握在美国人手中。物理级设计主要指版图设计,通常由半导体厂家完

47、成,一般与电子工程师关系不大。五、主流厂商及其EDA工具 EDA技术在教学、科研、产品设计与制造等各方面都发挥著巨大的作用。在教学方面,几乎所有理工类高校都开设了EDA课程。主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验并从事简单系统的设计。一般学习电路仿真工具(如EWB、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统),为今後工作打下基础。科研方面主要利用电路仿真工具(EWB或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造方面,包括前期的计算机仿真,产品开发中的EDA工

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号