EDA课程设计论文十进制计数加法器.doc

上传人:sccc 文档编号:4856135 上传时间:2023-05-20 格式:DOC 页数:17 大小:213.53KB
返回 下载 相关 举报
EDA课程设计论文十进制计数加法器.doc_第1页
第1页 / 共17页
EDA课程设计论文十进制计数加法器.doc_第2页
第2页 / 共17页
EDA课程设计论文十进制计数加法器.doc_第3页
第3页 / 共17页
EDA课程设计论文十进制计数加法器.doc_第4页
第4页 / 共17页
EDA课程设计论文十进制计数加法器.doc_第5页
第5页 / 共17页
点击查看更多>>
资源描述

《EDA课程设计论文十进制计数加法器.doc》由会员分享,可在线阅读,更多相关《EDA课程设计论文十进制计数加法器.doc(17页珍藏版)》请在三一办公上搜索。

1、燕 山 大 学 课 程 设 计 说 明 书燕山大学课 程 设 计 说 明 书题目:十进制加法计数器学院(系):电气工程学院年级专业:08级检测1班学 号:080103020042学生姓名:指导教师:教师职称:实验师燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号080103020042学生姓名专业(班级)08检测1班设计题目十进制加法器设计技术参数在数码管上显示加数、被加数和结果设置加数和被加数,当加数和被加数超过9时均按0处理设计要求在4个静态数码管显示加数、被加数和结果分别用4个拨码开关设置加数和被加数工作量学会使用Max+PlusII软件和实验箱;

2、独立完成电路设计,编程下载、连接电路和调试;参加答辩并书写任务书。工作计划1. 了解EDA的基本知识,学习使用软件Max+PlusII,下发任务书,开始电路设计;2. 学习使用实验箱,继续电路设计;3. 完成电路设计;4. 编程下载、连接电路、调试和验收;5. 答辩并书写任务书。参考资料数字电子技术基础.阎石主编.高等教育出版社.EDA课程设计指导书. 指导教师签字 基层教学单位主任签字金海龙说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。年 月 日 目 录第一章 加法器设计说明11.1 设计思路21.2模块介绍 31.3真值表 1第二章 原理图2 第三章 波形仿真图3第四章 管

3、脚锁定及硬件连线5第五章 总结 14参考文献 18燕山大学评审意见表 11第一章 加法器设计说明1.1 设计思路根据项目要求设计的十进制加法器有两个输入加数和被加数,分别是用四个拨码开关表示的四位二进制数,即输入的范围为0到15;有一个输出,即两个加数相加的结果。加数的合法设计范围为0到9,故当输入的加数大于9的时候需要将其统一变换成0。这里我们使用7485四位数字比较器,将输入的加数和1001B(即9)做比较,如果其小于9,就输出原数作为加数;如果其大于9,就输出0000B(即0)作为新的加数。同时将合法的加数通过两片BCD七段数码管显示出来。合法的加数、被加数分别接入74283四位超前进位

4、加法器的各个引脚,相加后的四位输出结果SUM1-SUM4连同进位输出cout,是一个五位的二进制数,cout是其高位。输出的五位二进制数需要转换成为八位BCD码才能显示在BCD七段数码管上,故需要添加转换环节。使用一片7485和一片74283即可实现从五位二进制数到五位BCD码的转换。1.2 模块介绍输入转换部分:利用两片7485和相应的或门、与门,将输入的加数通过7485和9做比较,如果加数小于等于9,即从或门输出一个高电平1和输入加数的各个位相与,即输入各位不变;如果加数大于9,即从或门输出一个低电平0和输入加数的各个位想与,相与后各位都为0,即实现了当输入加数、被加数大于9时统一按作0处

5、理。同时将得到的处理后的加数接入输出端A、B,接到BCD七段数码管上进行显示。加法器部分:将得到两个处理后的数的各位依次接入74283的各个引脚,就可以在74283的输出端得到相加后的结果,其中SUM1-SUM4连同进位信号cout共同构成一个五位二进制数, cout是高位。必须将这个二进制数转换成为8位BCD码才能在数码管上进行显示。B-BCD(二进制转换为BCD码):我们通过一片7485和一片74283来实现这个功能。仔细观察B-BCD的真值表(注:BCD码的高三位始终为0,已略去。):表1 B-BCD真值表:二进制数BCD码00000000000000100001000100001000

6、01100011001000010000101001010011000110001110011101000010000100101001010101000001011100010110010010011011001101110101000111110101100001011010001101111001011000加0011即可得到相应的BCD码观察二进制转换到BCD码的真值表,可以发现两者的最后一位是完全相等的,故可以直接将结果的最后一位直接作为得数的最低位进行输出。再观察粉色部分,也就是当结果不大于9时(对于前四位是不大于4时),前四位是完全相等的;观察蓝色部分,对于前四位来说,二进制数与

7、BCD码总是相差0011B(即3)。我们利用一片7485、一片74283和相应的逻辑电路实现转换的功能。在得到得数后,将其最低位(即SUM1)直接作为最低位输出,而将高四位分别接入一个7485的各个引脚,将其与4做比较,当其小于等于4时,或门输出一个低电平信号0输入74283的B1、B2位,和二进制结果的前四位相加(即加上0000B),结果不变;当其大于4时,或门输出一个高电平信号1输入74283的B1、B2位,和二进制结果的前四位相加(即加上0011B),即可得到相应的BCD码。1.3 真值表表2 加法运算真值表加数被加数得数000000000000000000010001000000100

8、110100100010101011110010001011010001001000101111001100100011000(注:加数和被加数都不大于9时相加得到和;)1010100000001000101010010000100110111001000010011011101000000000(注:加数和被加数小于9时按0处理,相加得到和;)表3 数码管显示真值表原数显示值000000000001000100100010011101111000100010011001(注:输入不大于9的数字时显示原数;)101000001011000011000000(注:输入大于9的数字时按0处理,显示

9、0;)由以上真值表可知,设计电路可以完成题目要求的加法运算过程。第二章 原理图2.1 流程图图1 加法器工作流程图2.2 输入转换部分设计利用四个拨码开关分别代表输入四位二进制加数与被加数的各个位,这里使用数字开关组A的14来控制输入X,使用数字开关组A的58来控制输入Y。将两个输入的各位接入7485四位比较器与1001B(即9)做比较,根据比较的结果,大于9则通过或门输出一个低电平0与输入各位相与,即将各位都变成0,再参加之后的运算,同时输出到数码管。输入X、Y的控制完全相同。控制原理图如下所示。图2 输入转换原理图2.3 加法器部分设计将得到两个处理后的数的各位依次接入74283的各个引脚

10、,就可以在74283的输出端得到相加后的结果,其中SUM1-SUM4连同进位信号cout共同构成一个五位二进制数, cout是高位。必须将这个二进制数转换成为8位BCD码才能在数码管上进行显示。图3 加法器部分电路图2.4 B-BCD部分设计观察二进制转换到BCD码的真值表(表1),可以发现两者的最后一位是完全相等的,故可以直接将结果的最后一位直接作为得数的最低位进行输出。再观察粉色部分,也就是当结果不大于9时(对于前四位是不大于4时),前四位是完全相等的;观察蓝色部分,对于前四位来说,二进制数与BCD码总是相差0011B(即3)。我们利用一片7485、一片74283和相应的逻辑电路实现从5位

11、二进制到8位BCD码的转换功能。在得到得数后,将其最低位(即SUM1)直接作为最低位输出,而将高四位分别接入一个7485的各个引脚,将其与4做比较,当其小于等于4时,或门输出一个低电平信号0输入74283的B1、B2位,和二进制结果的前四位相加(即加上0000B),结果不变;当其大于4时,或门输出一个高电平信号1输入74283的B1、B2位,和二进制结果的前四位相加(即加上0011B),即可得到相应的BCD码。电路图如下所示:图4 B-BCD部分电路图2.5 整体电路图图5 整体电路图由整体电路图看出,用拨码开关(数字开关组A)控制输入X和输入Y,输出A、B分别接数码管将加数和被加数进行显示,

12、输出C、D接数码管显示相加结果。第三章 波形仿真图3.1 6+6=123.2 9+8=173.3 11+90+9=9当加数和被加数大于9时,按0处理。3.4 12+140+0=0第四章 管脚锁定及硬件连线管脚名称类型Pin备注X0输入39加数二进制第一位X1输入40加数二进制第二位X2输入41加数二进制第三位X3输入44加数二进制第四位Y0输入45被加数二进制第一位Y1输入46被加数二进制第二位Y2输入47被加数二进制第三位Y3输入53被加数二进制第四位A0输出127加数对应数码管显示第一位A1输出128加数对应数码管显示第二位A2输出131加数对应数码管显示第三位A3输出132加数对应数码管

13、显示第四位B0输出133被加数对应数码管显示第一位B1输出134被加数对应数码管显示第二位B2输出135被加数对应数码管显示第三位B3输出136被加数对应数码管显示第四位C0输出139加和结果各位的数码管显示第一位C1输出140加和结果各位的数码管显示第二位C2输出141加和结果各位的数码管显示第三位C3输出142加和结果各位的数码管显示第四位D0输出143加和结果各位的数码管显示第一位D1输出144加和结果各位的数码管显示第二位D2输出147加和结果各位的数码管显示第三位D3输出148加和结果各位的数码管显示第四位A输出94数码管A的位控选通信号B输出95数码管B的位控选通信号C输出96数码

14、管C的位控选通信号D输出97数码管D的位控选通信号第五章 总结本次EDA(electric design automation)课程设计,是我第一次深入接触电路设计和工程设计的内容。作为一个测控专业的学生,我在过去的两年半中学习了专业的各方面知识,包括电路原理、模拟电路、数字电路等等,而这周的EDA课设,将我们学过的各方面电学知识和现代电路设计方法结合起来,应用计算机软件进行课题项目设计,不单夯实了我们的理论知识和对数字电路的理解,更极大的锻炼了我们实际动脑、动手能力。我的设计题目是十进制加法计数器,通过拨码开关输入两个十以内的数(大于9的时候按0处理),将输入的数通过数码管显示,相加后的结果

15、也通过两个数码管显示出来。这个设计题目是整体来看是比较简单的。仔细分析这个题目,遇到的第一个问题是要对两个输入的数进行处理,当其大于9的时候要把它变成0后再输入加法器。通过7485和逻辑门组合,便解决了这个问题。而后比较棘手的问题是怎样把输出的五位二进制结果转换成8位BCD码。二进制转换成BCD码的一般方法是当其小于等于9的时候,两者相同;当其大于9的时候,将其加上0110B(即6)。而对于五位二进制数,很难将其接入四位的7485与9进行比较,也难以将其输入四位的74283与6相加。仔细观察二进制转换BCD码的真值表,可以发现两者的最后一位是完全相同的,而当高四位小于等于4的时候,两者相同;当

16、高四位大于4的时候,将其加上3即可得到相应的BCD码。由此我设计出一个转换方法,将二进制的最低位直接输出,而将高四位输入7485和4做比较,如果其大于4则加上3输出,不大于则输出原数,同时通过数码管进行显示。至此,整个设计方案就比较完善了。通过一周课程设计,我受益良多。在老师的指导下,我学会了软件MAX+plus II 10.2 BASELINE的基础使用方法,初步了解了电气设计的过程,接触了工程设计的概念。在这一周的时间里,我独立进行思考,设计电路,调试波形在周三上箱实验时,我的设计很好的实现了题目的要求,内心的兴奋实在难以表达,毕竟这是自己第一次用软件进行了电路设计,并成功地满足了要求。这

17、次课设让我在实际中真正地运用了在课本中学到的东西,既加深理论知识的理解,又锻炼了自己的动手能力,感谢李婷老师、李艳艳老师一周的耐心讲解和帮助。参考文献1.数字电子技术基础.阎石主编.高等教育出版社.2.EDA课程设计指导书.燕山大学课程设计评审意见表指导教师评语:该生学习态度 (认真 较认真 不认真) 该生迟到、早退现象 (有 无)该生依赖他人进行设计情况 (有 无)平时成绩: 指导教师签字: 2010 年 12 月 17 日图面及其它成绩:答辩小组评语:设计巧妙,实现设计要求,并有所创新。 设计合理,实现设计要求。 实现了大部分设计要求。 没有完成设计要求,或者只实现了一小部分的设计要求。 答辩成绩: 组长签字: 2010 年 12 月 17 日课程设计综合成绩:答辩小组成员签字: 2011年 3 月 18日 第 1 页 共 17 页

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号