altera fpga的设计流程.ppt

上传人:laozhun 文档编号:2972753 上传时间:2023-03-06 格式:PPT 页数:114 大小:2.97MB
返回 下载 相关 举报
altera fpga的设计流程.ppt_第1页
第1页 / 共114页
altera fpga的设计流程.ppt_第2页
第2页 / 共114页
altera fpga的设计流程.ppt_第3页
第3页 / 共114页
altera fpga的设计流程.ppt_第4页
第4页 / 共114页
altera fpga的设计流程.ppt_第5页
第5页 / 共114页
点击查看更多>>
资源描述

《altera fpga的设计流程.ppt》由会员分享,可在线阅读,更多相关《altera fpga的设计流程.ppt(114页珍藏版)》请在三一办公上搜索。

1、1,Altera FPGA的设计流程,贺 光 辉清华大学电子工程系,2,目标,掌握FPGA的标准设计流程和工具用Modelsim进行功能级仿真并诊断RTL Code用Quartus II做设计综合和布局布线用FPGA Mega-functions做设计返标SDF并运行门级的仿真掌握FPGA的时序约束了解FPGA的设计原则,3,提纲,FPGA概要FPGA的设计流程用Modelsim进行仿真和调试用Quartus II进行时序分析、综合等FIR滤波器的设计实例,4,FPGA概要,5,FPGA的优点,集成度高,可以替代多至几千块通用IC芯片极大减小电路的面积,降低功耗,提高可靠性具有完善先进的开发工

2、具提供语言、图形等设计方法,十分灵活通过仿真工具来验证设计的正确性可以反复地擦除、编程,方便设计的修改和升级灵活地定义管脚功能,减轻设计工作量,缩短系统开发时间布局布线容易,设计过程相当于只有ASIC设计的前端研发费用低不需要投片费用,6,FPGA的应用前景,通信、控制、数据计算等领域得到了广泛的应用 减少电子系统的开发风险和开发成本 缩短上市时间(time to market)通过在系统编程、远程在线重构等技术降低维护升级成本系统的原型实现ASIC的原型验证,ASIC常用FPGA进行原型机验证,7,CPLD与FPGA的区别,8,CPLD还是FPGA?,复杂组合逻辑:CPLDPLD分解组合逻辑

3、的功能很强,一个宏单元就可以分解十几个甚至2030多个组合逻辑输入复杂时序逻辑:FPGAFPGA芯片中包含的LUT和触发器的数量非常多,往往都是成千上万,9,推荐书籍,VerilogVerilog数字系统设计教程 夏宇闻 北京航天航空大学出版社硬件描述语言Verilog 刘明业等译 清华大学出版社 FPGA基于FPGA的嵌入式系统设计 任爱锋 西安电子科技大学出版社基于FPGA的系统设计(英文版)Wayne Wolf 机械工业出版社 IC设计Reuse methodology manual for system-on-a-chip designs 3rd ed.Michael Keating,

4、Pierre Bricaud.片上系统:可重用设计方法学沈戈,等译电子工业出版社,2004Writing testbenches:functional verification of HDL models/Janick Bergeron Boston:Kluwer Academic,c2000,10,推荐文章,http:/www.sunburst-Coding Styles For Improved Simulation Efficiency State Machine Coding Styles for Synthesis Synthesis and Scripting Techniques

5、 for Designing Multi-Asynchronous Clock Designs Synchronous Resets?Asynchronous Resets?I am so confused!Nonblocking Assignments in Verilog Synthesis,Coding Styles That Kill!,11,FPGA的设计流程,12,目标,完成本单元的学习后你将会列出FPGA设计过程的步骤(以Altera的FPGA为设计例子)用缺省的软件选项来实现一个FPGA的设计Quaturs IIModelsim,13,FPGA的设计流程,FPGA的设计流程用M

6、odelSim进行仿真用Quartus II进行综合和时序分析用Quartus II进行布局布线、调试和下载,14,FPGA设计流程,综合-Translate Design into Device Specific Primitives-Optimization to Meet Required Area&Performance Constraints-Synplify,Quartus II,Design Specification,布局布线-Map Primitives to Specific Locations inside Target Technology with Reference

7、 to Area&Performance Constraints,Design Entry/RTL Coding-Behavioral or Structural Description of Design,RTL仿真-Functional Simulation(Modelsim,Quartus II)-Verify Logic Model&Data Flow(No Timing Delays),LE,M512,M4K,I/O,15,FPGA设计流程,时序分析-Verify Performance Specifications Were Met-Static Timing Analysis,门

8、级仿真-Timing Simulation-Verify Design Will Work in Target Technology,PC Board Simulation&Test-Simulate Board Design-Program&Test Device on Board-Use SignalTap II for Debugging,tclk,16,系统规划和预算,系统功能的总体规划:功能集的定义;端口的定义;模块的基本划分和功能定义:每个模块应该完成的功能;模块之间的接口定义;模块间通讯的问题一定要考虑好,硬件通信的成本一般比较大。,17,设计的整体规划,设计规模的初步估计,大致

9、应该选择哪一层次的芯片;设计时序的宏观规划:频率和时钟结构;可能的关键路径,着重优化;模块的进一步细化,考虑可重用性等的规划:可以考虑基本单元,比如加法、乘法器和寄存器等。,设计文档化非常重要,18,设计实现,用电路框图或者HDL描述实现自己的设计:简单的设计可以用电路框图;大型复杂的一般倾向于用HDL描述;HDL描述和计算机编程中的高级语言描述有很大不同,每一个描述都要考虑硬件的实现能力,是不是可以综合的等等,目前HDL语言标准中仍然有不能被综合的语法,这些要尤其注意。,19,功能仿真,对逻辑功能进行验证:不考虑时序问题,认为门都是理想门,没有延时;详细一些的可以认为门延时都是一样,而忽略互

10、连线的延时。,20,逻辑综合,通过映射和优化,把逻辑设计描述转换为和物理实现密切相关的工艺网表:,21,布局布线,将综合生成的网表,在FPGA内部进行布局布线的设计,并最终生成用于下载的二进制配置文件;,逻辑综合器,EDIF网表(netlist),FPGA厂家工具,调用模块的综合模型,设置布局布线约束条件,HDL网表(netlist),SDF文件(标准延时格式),下载/编程文件,22,时序逼近,时序逼近流程是一个推荐的设计方法可以帮助设计满足它们的时序目标,23,门级仿真,24,SDF 文件,Industry Standard FormatAutomatically Generated Whe

11、n You Compile a Design(Output File with Extension.sdo)Contain Timing InformationDevice DelaysInterconnect DelaysPort DelaysPath DelaysTiming ChecksCan be Back-annotated to a Design for Accurate Model of Delays,25,物理验证,将生成的二进制配置文件下载到FPGA上,进行实际的功能和时序的测试;Altera(.sof文件)Xlinx(.bit 文件),由于FPGA常常是作为整个系统一部分,

12、因此还应该将FPGA放到整个系统中进行验证,整个系统工作正常,才算完成了开发过程。,26,用ModelSim仿真,27,内容,ModelSim产品简介ModelSim的用途用ModelSim进行功能仿真用ModelSim进行时序仿真,28,ModelSim产品简介(1),由Mentor Graphics公司 的子公司Model Tech公司开发工业上最通用的仿真器之一支持Verilog 和 VHDL仿真OEM版本允许Verilog仿真 或者 VHDL 仿真ModelSim/SE首要的版本,能混合仿真Verilog 和 VHDLModelSim/XEOEM版,包含Xilinx公司的库文件Mode

13、lSim/AEOEM版,包含Altera公司的库文件,29,ModelSim产品简介(2),ModelSim 用户界面,main主窗口:,structure结构窗口,process处理窗口:,Signal&variable信号和变量窗口,dataflow数据流窗口,source源窗口,Wave&list波形和列表窗口,30,ModelSim的用途,RTL 仿真(功能仿真)验证设计HDL的基本逻辑功能,属于最基本的验证仿真速度最快门级仿真 采用综合软件综合后生成的门级网表不带有布局布线后产生的时序信息时序仿真(后仿真)在门级仿真的基础上加入时延文件“.sdf”文件速度很慢,需要很长时间,31,用

14、ModelSim作功能仿真(1),ModelSim 的实现方法:交互式的命令行(Cmd)利用控制台的命令行用户界面(UI)能接受菜单输入和命令行输入批处理模式从DOS或UNIX命令行运行批处理文件,32,用ModelSim作功能仿真(2),基本仿真步骤:1 建立库2 映射库到物理目录3 编译源代码-所有的HDL代码必须被编译4 启动仿真器5 执行仿真,33,用ModelSim作功能仿真(3),1 建立库UI)从主菜单里面:Design-Create a New LibraryCmd)从main,记录窗口:ModelSim vlib,34,用ModelSim作功能仿真(4),2 映射库到物理目录

15、UI)从主菜单:Design-Browse Libraries Design-Create a New LibraryCmd)从主体的记录窗口:ModelSim vmap,35,用ModelSim作功能仿真(5),3 编译源代码(Verilog)UI)Design-CompileCmd)vlog-work.v.v文件按出现的顺序被编译文件的顺序或者编辑的顺序不重要支持增量式编译(只有被改动的设计单元被编译)缺省编译到work库例如.vlog my_design.v,36,用ModelSim作功能仿真(6),3 编译源代码,点亮一个或多个文件并点击 Compile,37,用ModelSim作功能

16、仿真(7),4 启动仿真器UI)Design-Load New DesignCmd)vsim-lib VHDLvsim top_entity top_architectureVerilogvsim top_level,38,用ModelSim作功能仿真(8),4 启动仿真器,选择库,选择顶级module 或 entity/architecture,39,用ModelSim作功能仿真(9),5 执行仿真UI)RunCMD)run 按timesteps指定的时间长度执行仿真,40,用ModelSim作功能仿真(10),5 执行仿真(UI),选择 timesteps数量就可以执行仿真,Restart

17、 重装任何已改动的设计元素并把仿真时间设为零COM)restart,41,用ModelSim作功能仿真(11),5 执行仿真-run 命令举例run 1000从当前位置运行仿真 1000 timestepsrun 2500 ns从当前位置运行仿真2500 nsrun 3000运行仿真到 timestep 3000,42,用ModelSim作功能仿真(12),5 执行仿真-仿真器激励测试台 Verilog 或 VHDL代码非常复杂的仿真(交互式仿真、数据量大的仿真)force命令简单的模块仿真直接从命令控制台输入.DO 文件(宏文件),43,用ModelSim作功能仿真(13),5 执行仿真-仿

18、真器激励.do文件自动完成仿真步骤的宏文件库设置编译仿真强制仿真激励能在所有的ModelSim 模式里被调用UI)Macro-ExecuteCOM)do.do能调用其他的DO文件,44,用ModelSim作功能仿真(14),5 执行仿真-仿真器激励.do文件举例,vlib workvcom counter.vhdvsim counterview*add wave/*add list/*do run.do,add wave/clkadd wave/clradd wave/loadadd wave-hex/dataadd wave/qforce/clk 0 0,1 50-repeat 100for

19、ce/clr 0 0,1 100run 500force/load 1 0,0 100force/data 16#A5 0force/clk 0 0,1 50-repeat 100run 1000,cd c:mydirvlib workvcom counter.vhdvsim counterview*do stimulus.do,my_sim.do,stimulus.do,45,用ModelSim作功能仿真(15),5 执行仿真-仿真器激励测试台文件(test bench)针对复杂的仿真在测试台文件中将设计模块实例化-将测试台文件置于TOP层,调用设计模块-在测试台文件中加载时钟激励信号,以及

20、给部分信号赋初值测试台文件的写法与设计模块写法有区别-一些符合语法但又无法被综合的语句(根据具体的综合工具而定),可以在测试台文件中使用,46,用ModelSim作功能仿真(总结),基本仿真步骤:1 建立库(Altera MegaFunction库)2 映射库到物理目录3 编译源代码-所有的HDL代码必须被编译4 启动仿真器5 执行仿真,#Create libaryvlib work#Compile the altera_mf libraryvlog d:/quartus51/eda/sim_lib/altera_mf.v#Create altera_mf library and map it

21、 to workexec vmap altera_mf work#source files#FIFOvlog./core/INFIFO.v#top_levelvlog./src/chip_top.vvlog./src/tb_top.v#simulation and testbenchesvsim-L work tb_topdo wave_tb_top.dorun 5 ms,47,用ModelSim作时序仿真(1),时序仿真的含义:布局布线后进行的后仿真包含有延时信息仿真结果可能与功能仿真不相同除功能仿真时需要的文件以外,还需要网表文件(如time_sim.vhd或time_sim.v)和包含延

22、时信息的文件(time_sim.sdf文件)在Quartus中是.vo 和.sdo文件,48,用ModelSim作时序仿真(2),指定SDF文件,指定 SDF文件,使用定时值的等级的类型(如果不是顶级),49,用ModelSim作时序仿真(3),vsim 命令的参数参数-t 指定仿真的时间分辨率单位可以是fs,ps,ns,ms,sec,min,hr如果用了 Verilog的 timescale指令,将使用整个设计中的最小的时间精度可选项(缺省是 ns)-sdfmin|-sdftyp|-sdfmax=注释SDF文件可选项使用实例名也是可选项;如果没有使用,SDF用于顶级,50,用ModelSim

23、作时序仿真(总结),基本仿真步骤:建立库 编译Altera器件库3 映射库到物理目录4 编译综合后网表5 加入SDF文件4 启动仿真器5 执行仿真,#script for Modelsim post timing simulation of Altera cyclone device#by Wayne#set your PC environmentset modelsim_home d:/edatools/Modeltech_6.1dset quartus_home d:/quartus51#build work libvlib work#add cyclone device lib and

24、its sim modelsvlog-reportprogress 300-work cyclone$quartus_home/eda/sim_lib/cyclone_atoms.v,程序接下页,51,vmap cyclone work#post simulation in modelsim for Altera devicesset design_name chip_topset home./impvlog./src/tb_top.vvlog./imp/simulation/modelsim/$design_name.vovsim-sdftyp/tb_top/UUT=chip_top_v.s

25、do-t ps work.tb_topdo wave.dorun 500 us,程序接上页,52,用Quartus II 进行综合、时序分析和布局布线,53,设计流程,Create a New Quartus II ProjectCompile a Design into an FPGALocate Resulting Compilation InformationAssign Design Constraints(Timing&Pin)Perform Timing Analysis&Obtain ResultsConfigure an FPGA,54,创建一个新的工程,55,添加文件,56,

26、Choose Device Family,器件选择,57,管脚分配,Assignments menu-Assignment Editor-Pins,58,Pin Planner 窗口,Unassigned Pins List,Package View(Top or Bottom),Assigned Pins List,59,Altera的IP工具,IP的概念:用于ASIC、ASSP、PLD等芯片中预先设计好的常用但较复杂的电路功能模块,经过严格测试和优化,如FIR滤波器、SDRAM控制器、PCI接口等。使用IP的优势:提高设计性能降低开发成本缩短设计周期设计灵活性强仿真方便,60,IP的分类:

27、软IP、固IP和硬IPMegafunctions/LPM(免费的宏功能模块):如算术组件、门、I/O组件、存储器、存储组件MegaCore(需要授权的):如数字信号处理类、通信类、接口和外设类、微处理器类,Altera的IP工具,61,下载所要的MegaCore通过MegaWizard的界面打开IP核的统一界面IP Toolbench定制要生成的IP的参数产生IP的封装和网表文件,以及功能模型对IP的RTL模型做功能仿真将IP的封装和网表文件放在工程中,并实现设计购买IP许可证,IP使用的步骤,62,MegaWizard Plug-In Manager,Eases Implementation

28、 of Megafunctions&IP,Tools MegaWizard Plug-In Manager,63,MegaWizard 示例,Multiply-Add,PLL,Locate Documentation in Quartus II Help or the Web,64,时序分析,65,Timing Assignments,5 types of timing assignments exist:fmax,tsu,thold,tco,tpdThese timing assignments can be assigned globally or individually,66,Regi

29、ster的参数,D,Clk,Q,D,Q,Clk,tc-q,thold,T,tsu,tsu:建立时间,在时钟有效沿到来之前寄存器数据输入应保持稳定的时间,它间接约束了组合逻辑的最大延时,thold:保持时间,在寄存器数据输入的引脚的数据在系统有效时钟沿到来后需要保持稳定的时间,它间接约束了组合逻辑的最小延时,tc-q:寄存器从有效时钟沿到来到输出有效的最大时间,违反建立或保持时间,都会造成触发器工作异常,产生Metastability。为了可靠工作,在建立时间开始到保持时间为止的这段时间内,触发器的输入端信号不应发生变化。,67,Clock Skew,在同一个时钟域或者两个时钟域之间时钟信号到达

30、寄存器的最大时间差别产生原因主要有:时钟源到达各端点的路径长度不同,各端点负载不同,时钟网络中插入的缓冲器不同等在两个点之间,可以大体认为Skew是固定的值注意:Clock Skew影响的是时钟的到达时间不同,也就是时钟发生相移,并不影响时钟的周期宽度,68,Clock Skew(2),69,时钟参数满足的条件,时钟周期应大于寄存器延时、组合逻辑延时、和目标寄存器建立时间的和本寄存器有效输出通过组合逻辑的延时应该大于目的寄存器的保持时间要求,70,Fmax Assignment,71,Fmax Assignment:Single/Multiple Clock,72,Clock Period=C

31、lock-to-Out+Data Delay+Setup Time-Clock Skew=tco+B+tsu-(E-C)fmax=1/Clock Period,Clock Setup(fmax),Worst-Case Clock Frequency,73,Select Clock Setup,Worst fmax,Fmax Values Are Listed in Ascending Order;Worst Fmax Is Listed on the Top,Source,Destination Registers&Associated Fmax Values,Clock Setup(fmax

32、)Tables,74,fmax Analysis Details,Data Delay(B),Source Register Clock Delay(C),Setup Time(tsu),B,C,tco,tsu,E,Clock Period,Destination Register Clock Delay(E),Clock to Output(tco),1,0.384 ns+7.445 ns+0.180 ns-0.000 ns,=124.86 MHz,Messages Window(System Tab)in Quartus II,75,I/O Assignments:Tsu,Tco,76,T

33、iming Assignments,What can be tagged with a timing assignments?Registers(all)Clock Pins(all)Input Pins(tsu,th)Output Pins(tco)Bidirectional Pins(all),77,Timing Settings,Easy way to enter timing assignmentsConsolidates all timing assignments in one menu Individual clock settings OR overall circuit fr

34、equency Default system timing tsu th tco tpd Default external input/output delays Enable/Disable timing analysis during compilation Timing driven compilation,78,Reporting Timing Results,Timing information is part of the Compilation Report Summary Timing Analyses fmax(not incl.delays to/from pins)or

35、fmax(incl.delays to/from pins)Register-to-Register Table tsu(Input Setup Times)th(Input Hold Times)tco(Clock to Out Delays)tpd(Pin to Pin Delays)All timing results are reported here,79,I/O 建立时间和保持时间,Clock delay,tsu th,Data delay,tsu=data delay-clock delay+intrinsic tsu,intrinsic tsu&hold,th=clock de

36、lay-data delay+intrinsic th,80,I/O Clock-to-Output Analysis(tco),Data delay,tco,Clock delay,clock delay+intrinsic tco+data delay=tco,intrinsic tco,81,Time Slack,slack=期望数据到达时间 数据实际到达时间,Slack为正,表示数据提前到达,此时组合逻辑延迟满足条件,Register有足够的建立时间;Slack为负,表示数据比预期的时间晚到达,此时显然不满足Register的建立时间,不满足约束;,82,Slack Equations

37、(Setup),Slack=Largest Required Time-Longest Actual TimeRequired Time=Clock Setup-tco-tsu+(clk-clk)Actual Time=Data Delay,launch edge,clk,clk,setup latch edge,Clock Setup*,clk,tco,tsu,Combinatorial Logic,clk,Register 1,Register 2,data delay,83,Slack Equations(Hold),launch edge,clk,hold latch edge,Clo

38、ck Hold*,clk,tco,th,Combinatorial Logic,clk,Register 1,Register 2,data delay,Slack=Shortest Actual Time-Smallest Required TimeActual Time=Data DelayRequired Time=Clock Hold-tco+th+(clk-clk),clk,84,in,out,clk,reg1,reg2,clk,clk,clk,slack=p2p required p2p delay,p2p,p2p required=setup relationship+clock

39、 skew tCO-tSU,setup relationship=latch edge launch edge,clock skew=clk clk,launch,latch,Simple Register to Register 示例,85,in,out,clk,reg1,reg2,clk,slack=p2p required p2p delay,p2p required=setup relationship+clock skew tCO tSU,setup relationship=latch edge launch edge=5.0 0.0=5.0,clock skew=clk clk,

40、2.266,0.11,0.082,tco,tsu,0.082,0.11,=2.521-2.993,=5.0+(-0.472)0.11 0.082,=4.336 2.266,=-0.472,=4.336,tco,tsu,=2.07,2.993,2.521,Simple Register to Register 示例(con),86,Input Minimum Delay,Minimum Delay from External Device to Altera I/ORepresents External Device tco+PCB Delay+PCB Clock SkewConstrains

41、Registered Input Path(th),A,tco,th,Altera Device,External Device,PCB Delay,thA Input Minimum Delay,Input Minimum Delay,thA,CLK,CLK,87,Output Maximum Delay,Maximum Delay from Altera I/O to External DeviceRepresents External Device tsu+PCB Delay+PCB Clock SkewConstrains Registered Output Path(Max.tco)

42、,B,tco,tsu,Altera Device,External Device,PCB Delay,tcoB tCLK-Output Maximum Delay,tco,Output Maximum Delay,CLK,CLK,88,Output Minimum Delay,Minimum Delay from Altera I/O to External DeviceRepresents External Device th-PCB Board DelayConstrains Registered Output Path(Min.tco),B,tco,th,Altera Device,Ex

43、ternal Device,Board Delay,tcoB Output Minimum Delay,tco,Output Maximum Delay,CLK,CLK,89,Example Input Maximum Delay,Notice:Input Pin d(6)&d(3)Timing Information Is Included with Clock Setup(fmax)AnalysisInput Delay Has Been Added to List Path Calculation,Input Maximum Delay(d)=4 ns,90,Compiling in Q

44、uartus,91,Compiling in Quartus(Start),92,Compiling in Quartus,93,Done!,94,Download Bit File,95,Download Bit File into FPGA,96,Altera FPGA配置列表,97,Debug with SignalTap II,98,Quartus II 嵌入式逻辑分析仪特点,99,FIR滤波器设计实例,100,一个综合的例子,FIR滤波器的设计Modelsim的使用Quartus II的使用宏功能模块的使用仿真、综合等过程及报告的查看,101,设计要求,设计一个14阶FIR滤波器,已

45、给出滤波器系数,要求用Verilog/VHDL实现该滤波器,并且选用Altera的Stratix或Stratix II器件。要求充分利用Altera Stratix/Stratix II的器件的DSPBLOCK资源。要求每一级都一级流水线(pipeline)。能够利用Altera的megafunction。能够在Modelsim下进行仿真。选用EP2S60F484C3器件。Fmax达到270Mhz以上。,102,设计难点,难点在于要达到270MHz以上的频率通过插入流水线可以达到要求为了不过分的增加流水线寄存器的数量,需要对FIR滤波器的结构进行精心设计,103,直接形式结构,关键路径是1个乘

46、法器和2个加法器,流水线割集插入流水线寄存器,这样得到的关键路径是1个乘法和1个加法,104,数据广播结构,关键路径是1个乘法器和1个加法器,流水线割集插入流水线寄存器,关键路径是1个乘法,105,设计方案选择,106,FIR滤波器的结构,107,模块划分,乘法器模块:直接用Altera的DSPBLOCK lpm_mult进行例化,加法器模块:直接用Altera的DSPBLOCK lpm_add_sub进行例化,顶层模块:将乘法器和加法器连接成数据广播结构的FIR滤波器,108,DSP Blocks for ComplexArithmetic Applications,WirelessFilt

47、ering&CompressionEncryptionSignal ProcessingConsumerImage ProcessingAudio ProcessingCompressionDataComBarrel ShiftingQoS Algorithms,+,Optional Pipelining,Output Registers,Output MUX,+-S,+-S,Input Registers,109,Stratix II DSP Blocks,Up 96 DSP BlocksEach Configured for(8)9x9 Multipliers(4)18x18 Multip

48、liers(1)36x36 MultiplierCan Be Cascaded for Larger OperationsDedicated Configurable Math CircuitryMultiplier,Accumulate&Addition/SubtractionRounding&SaturationBuilt-In Shift Register for Complete FIR Filter ImplementationSelectable Input,Output&Pipeline Stage Registers,110,DSP Block Architecture,Add

49、/Sub/Acc,SummationUnit,Output Register,X,X,X,X,+,Input Register,Pipeline Register,Output Mux,111,综合结果,资源利用率,速度,112,总结(1),1、使用ModelSim进行功能仿真3、使用Quartus II对设计进行综合,时序分析和布线4、使用ModelSim进行后仿真5、使用Quartus II下载文件到实际电路6、使用SignalTap II进行调试和数据观测,113,总结(2),数字系统设计应该明确如下观点:无论是ASIC、FPGA还是DSP,都只是一种实现手段;无论采用哪种HDL或哪种开发工具,都不能单纯从语言或工具本身作出评价;关键是看应用环境,只有选择最适合于应用的实现方式和工具才是最好的设计方案;设计应该注重硬件设计本身,只有先有了良好的设计,才可能有高效的描述和实现。数字模拟电路、HDL语言等知识和实际经验比了解软件更重要。,114,Thank you!,

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 建筑/施工/环境 > 项目建议


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号