两位十进制计数器显示实验.doc

上传人:sccc 文档编号:4854623 上传时间:2023-05-20 格式:DOC 页数:3 大小:70.02KB
返回 下载 相关 举报
两位十进制计数器显示实验.doc_第1页
第1页 / 共3页
两位十进制计数器显示实验.doc_第2页
第2页 / 共3页
两位十进制计数器显示实验.doc_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《两位十进制计数器显示实验.doc》由会员分享,可在线阅读,更多相关《两位十进制计数器显示实验.doc(3页珍藏版)》请在三一办公上搜索。

1、自动化学院EDA实验指导书实验四 两位十进制计数器显示实验综合实验一、实验目的1、 学生在掌握所学知识(模拟电路、数字电路、VHD语言等)的基础之上,通过进行该实验可将有关知识的连贯起来,提高自己本身的综合能力。2、 该实验的参考程序有错,学生通过该实验可以深入的了解和掌握EDA。二、实验要求1、 编写计数器程序。2、 编写扫描显示程序。3、 组成两位十进制计数器的显示功能。三、实验设备1、 计算机 一台2、 EDA实验箱 一台四、实验提示(1) 该实验应该分为三部分完成:计数器模块、扫描显示模块和综合部分。(2) 输入信号:计数信号、手动清零、手动允许和手动复位信号。(3) 输出信号:七段码

2、输出信号和位选编码信号。(4) 接口引脚的分配,参考附录三。五、实验报告要求1、 分别叙述计数器和扫描显示的工作原理和设计原理。2、 绘制它们的程序流程图并进行程序分析。3、 详细叙述和总结实验过程并进行仿真实验与硬件实验的分析。参考1、LED显示程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tled isport(din:instd_logic_vector(7 downto 0); sn: out std_logic_vector(2 downto 0); clk: in

3、std_logic; LED7:outstd_logic_vector(6 downto 0);end tled;architecture behv of tled issignal he: std_logic_vector(3 downto 0); signal ds: std_logic_vector(2 downto 0); beginprocess (din)begin 。when 0000 =LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 LED7 =0000000; -不显示示endcase; sn 0); Elsif CLKEvent and CLK=1 then If EN=1 then If Cqi 0); end If; end If; end If; IF Cqi=1110 Then Cy = 1 ; Dout = 0000; else Cy =0; Dout = Cqi; end If; END Process;End Cm; 参考3、顶层原理图 3

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/施工/环境 > 农业报告


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号