《接口设计技术》PPT课件.ppt

上传人:小飞机 文档编号:5516365 上传时间:2023-07-15 格式:PPT 页数:163 大小:381.50KB
返回 下载 相关 举报
《接口设计技术》PPT课件.ppt_第1页
第1页 / 共163页
《接口设计技术》PPT课件.ppt_第2页
第2页 / 共163页
《接口设计技术》PPT课件.ppt_第3页
第3页 / 共163页
《接口设计技术》PPT课件.ppt_第4页
第4页 / 共163页
《接口设计技术》PPT课件.ppt_第5页
第5页 / 共163页
点击查看更多>>
资源描述

《《接口设计技术》PPT课件.ppt》由会员分享,可在线阅读,更多相关《《接口设计技术》PPT课件.ppt(163页珍藏版)》请在三一办公上搜索。

1、第5章 ARM接口设计技术,键盘接口LED显示器接口LCD显示器接口触摸屏接口通讯接口中断接口A/D和D/A转换器接口ARM的JTAG接口,芯片S3C44B0X简介1,S3C44B0X是以ARM7TMDI为内核的高性能CPU,是ARM公司最早为业界普遍认可并且赢得最广泛应用的处理核。S3C44B0X的推荐最高工作频率为66MHz,按照ARM公司提供的技术资料,ARM7类CPU的运算速度可按如下公式计算:MIPS0.9MHz,由此可得出,S3C44B0X的最大运算速度大约为0.966MHz59.4MIPS。芯片S3C44B0X具有71个通用多功能输入输出引脚,这些I/O口的应用是S3C44B0X

2、处理器的基础应用,分别包含在如下7组端口中:端口A:10位,输出端口端口B:11位,输出端口端口C:16位,输入输出端口端口D:8位,输入输出端口 端口E:9位,输入输出端口端口F:9位,输入输出端口端口G:8位,输入输出端口,芯片S3C44B0X简介2,每组端口都是多功能口,需要用软件对端口配置寄存器PCONn来设置满足不同的需要。在运行程序之前必须先对每一个用到的引脚功能进行设置。如果某些引脚的复用功能没有使用,可以将该引脚设置成I/O口。在一般的应用中:PA:地址线。PB:bank选择线和SDRAM的接口信号线。PC:数据线、IIS接口或LCD数据线等。PD:LCD的信号线。PE:串口信

3、号线和定时器输出。PF:多功能I/O口。PG:多功能I/O口。,S3C44B0X的片上功能,2.5V ARM7TDMI内核,带有8KB高速缓存器(SAMBAII总线体系结构)。外部存储器控制器(FP/EDO/SDRAM控制,片选逻辑)。LCD控制器(最大支持256色STN,LCD具有专用DMA)。2通道通用DMA、2通道外设DMA并具有外部请求引脚。2通道UART,带有握手协议(支持lrDA1.0,具有16-byte FIFO)和1通道SIO。1通道多主IIC-BUS控制器。1通道IIS-BUS控制器。5个PWM定时器和1通道内部定时器。看门狗定时器。71个通用I/O口、8通道外部中断源。功耗

4、控制:具有普通、慢速、空闲核停止模式。8通道10位ADC。具有日历功能的RTC。具有PLL的片上时钟发生器。,S3C44B0X特性1,(1)体系结构集成了手持设备和通用嵌入式系统应用的解决方案。16/32位RISC体系结构和ARM7TDMI处理器内核强大的指令体系。Thumb代码压缩机,最大化代码密度同时保持了32位指令的性能。基于JTAG的片上集成ICE调试支持解决方案。328位硬件乘法器。实现低功耗SAMBAII的新型总线结构。,S3C44B0X特性2,(2)系统管理器支持大/小端方式。寻址空间:每bank的寻址空间为32M字节(共256M字节)。支持每bank可编程的8/16/32位数据

5、总线宽度。7个bank具有固定的bank起始地址和可编程的bank大小。8个存储器bank。所有的存储器bank具有可编程的操作周期。支持外部等待信号延长总线周期。支持掉电时DRAM/SDRAM的自刷新模式。支持均匀/非均匀的DRAM地址。,S3C44B0X特性3,(3)Cache存储器和内部SRAM一体化的8K字节Cache。未用的Cache空间用来作为0/4/8K字节的SRAM存储空间。支持LRU替换算法。采用保持主存储器与Cache内容一致性的“写穿式”策略。写存储器具有4级深度。当Cache未命中时,采用“请求数据优先填充”技术。,S3C44B0X特性4,(4)时钟和电源管理片上PLL

6、使MCU工作时最大达到75MHz。可以通过软件设置各功能模块的输入时钟。电源模式:正常、慢速、空闲和停止模式。正常模式:正常工作模式。慢速模式:不加PLL的低时钟频率模式。空闲模式:只停止CPU的时钟。停止模式:停止所有的时钟。通过EINT7:0或RTC报警中断从停止模式唤醒。,S3C44B0X特性5,(5)中断控制器30个中断源(看门狗定时器、6个定时器、6个UART、8个外部中断、4个DMA、两个RTC、1个ADC、1个IIC、1个SIO)采用向量化的IRQ中断模式以减少中断的延迟。可选的电平/边沿模式触发外部中断。电平/边沿模式具有可编程的优先级。支持FIQ为紧急的中断请求进行服务。,S

7、3C44B0X特性6,(6)定时器和PWM(脉宽调制)5通道16位具有PWM功能的定时器,1通道16位内部定时器(可进行基于DMA或中断的操作)。可编程的占空比周期、频率和优先级。支持外部中断源。能产生死区。(7)RTC(实时时钟)充分的时钟特性:毫秒、秒、分钟、小时、日、星期、月、年。32.768kHz时钟。定时警报,可用于唤醒CPU。可产生时钟节拍中断。,S3C44B0X特性7,(8)通用I/O口8个外部中断口。71个多功能输入/输出口。(9)UART(异步串行通信)2通道通用UART,可进行基于DMA或中断的操作。支持5位、6位、7位或8位串行数据传输/接收。可编程的波特率。支持IrDA

8、1.0(115.2Kbps)。支持用于测试的回馈模式。每个通道具有两个内部32字节的FIFO分别用于输入和输出。,S3C44B0X特性8,(10)DMA(直接存储器操作)控制器2通道通用DMA控制器。2通道DMA桥(外设DMA)控制器。支持I/O到存储器,存储器到I/O,I/O到I/O的DMA请求。同时发生的多个DMA具有可编程的优先级顺序。采用猝发式的传输模式以提高FPDRAM、EDODRAM和SDRAM的数据传输速率。(11)A/D转换器8通道的ADC。10-bit。,S3C44B0X特性9,(12)LCD控制器支持彩色/黑白/灰度LCD屏。支持单路扫描和双路扫描。支持虚拟显示屏功能。系统

9、存储器用来作为显示缓存。用专门的DMA从系统存储器中获得图像数据。灰度等级:16级灰度。最多256种颜色。,S3C44B0X特性10,(13)看门狗定时器16位的看门狗定时器。在定时器溢出时发出中断请求或系统复位。(14)IIC总线接口1通道多主IIC总线,可进行基于中断的操作模式。可进行串行,8位,双向数据传输,标准模式速度达到100Kb/S,快速模式达到400Kb/S。,S3C44B0X特性11,(15)IIS总线接口1通道音频IIS总线接口,可进行基于DMA的操作。串行,每通道8/16位数据传输。(16)SIO(同步串行I/O)1通道SIO,可进行基于DMA或中断的操作。可编程的波特率。

10、支持8位串行数据的传输和接收操作。(17)工作电压范围:内核为2.5V,I/O口为3.0V3.6V。(18)工作频率:最大75MHz。(19)封装:160LQFP/160FBGA。,S3C44B0X微处理器引脚信号1,(1)总线控制om1:0 输入:om1:0设置S3C44B0X在测试模式和确定nGCS0的总线宽度,逻辑电平在复位期间由这些管脚的上拉下拉电阻确定。00:8-bit 01:16-bit 10:32-bit 11:Test mode ADDR24:0 输出:地址总线,输出相应段的存储器地址.DATA31:0 输入输出:数据总线,总线宽度可编程为8/16/32 位 nGCS7:0 输

11、出:芯片选择,当存储器地址在相应段的地址区域时被激活.存取周期和段尺寸可编程.nWE 输出:写允许信号,指示当前的总线周期为写周期.nWBE3:0 输出:写字节允许信号,S3C44B0X微处理器引脚信号2,(1)总线控制nBE3:0 输出:在使用SRAM情况下字节允许信号.nOE输出:读允许信号,指示当前的总线周期为读周期.nXBREQ 输入:nXBREQ 总线控制请求信号,允许另一个总线控制器请求控制本地总线,nXBACK信号激活指示已经得到总线控制权。nXBACK 输出:总线应答信号。nWAIT 输入:nWAIT请求延长当前的总线周期,只要nWAIT为低,当前的总线周期不能完成。ENDIA

12、N 输入:它确定数据类型是little endian还是big endian,逻辑电平在复位期间由该管脚的上拉下拉电阻确定.0:little endian 1:big endian,S3C44B0X微处理器引脚信号3,(2)DRAM/SDRAM/RAM nRAS1:0 输出:行地址选通信号。nCAS3:0 输出:列地址选通信号。nSRAS输出:SDRAM行地址选通信号。nSCAS输出:SDRAM列地址选通信号。nSCS1:0 输出:SDRAM芯片选择信号。DQM3:0 输出:SDRAM数据屏蔽信号。SCLK输出:SDRAM时钟信号。SCKE输出:SDRAM时钟允许信号。,S3C44B0X微处理

13、器引脚信号4,(3)LCD控制单元VD7:0输出:LCD数据线,在驱动4位双扫描的LCD时,VD3:0为上部显示区数据,VD7:4为下部显示区数据。VFRAME输出:LCD场信号,指示一帧的开始,在开始的第一行有效。VM输出:VM极性变换信号,变化LCD行场扫描电压的极性,可以每帧或可编程多少个VLINE信号打开。VLINE输出:LCD行信号,在一行数据左移进LCD驱动器后有效。VCLK输出:LCD点时钟信号,数据在VCLK的上升沿发送,在下降沿被LCD驱动器采样。,S3C44B0X微处理器引脚信号5,(4)TIMER/PWMTOUT4:0输出:定时器输出信号。TCLK输入:外部时钟信号输入。

14、(5)中断控制单元EINT7:0输入:外部中断请求信号。(6)DMAnXDREQ1:0输入:外部DMA请求信号。nXDACK1:0输出:外部DMA应答信号。,S3C44B0X微处理器引脚信号6,(7)UARTRxD1:0输入:UART接收数据输入线。TxD1:0输出:UART发送数据线。nCTS1:0输入:UART清除发送输入信号。nRTS1:0输出:UART请求发送输出信号。,S3C44B0X微处理器引脚信号7,(8)IIC-BUS IICSDA输入输出:IIC总线数据线。IICSCL输入输出:IIC总线时钟线。IISLRCK输入输出:IIS总线通信时钟选择信号线。IISDO输出:IIS总线

15、串行数据输出信号。IISDI输入:IIS总线串行数据输入信号。IISCLK输入输出:IIS总线串行时钟。CODECLK输出:CODEC系统时钟。,S3C44B0X微处理器引脚信号8,(9)SIO SIORXD输入:SIO接收数据输入线。SIOTXD输出:SIO发送数据线。SIOCK输入输出:SIO时钟信号。SIORDY输入输出:当SIO的DMA完成SIO操作时的握手信号。(10)ADC AIN7:0输入:ADC模拟信号输入 AREFT输入:ADC顶参考电压输入。AREFB输入:ADC底参考电压输入。AVCOM输入:ADC公共参考电压输入。(11)通用口线 P70:0输入输出:通用I/O口(一些

16、口只有输出模式)。,S3C44B0X微处理器引脚信号9,(12)Reset&ClocknRESET输入:复位信号,nRESET挂起程序,放S3C44B0X进复位状态。在电源打开已经稳定时,nRESET必须保持低电平至少4个MCLK周期。OM3:2输入:OM3:2确定时钟模式。00=Crystal(XTAL0,EXTAL0),PLL on;01=EXTCLK,PLL on;10,11=Chip test mode.EXTCLK输入:当OM3:2选择外部时钟时的外部时钟输入信号线,不用时必须接高(3.3V).XTAL0模拟输入:系统时钟内部振荡线路的晶体输入脚。不用时必须接高(3.3V).EXTA

17、L0模拟输出:系统时钟内部振荡线路的晶体输出脚,它是XTAL0的反转输出信号。不用时必须悬空。PLLCAP模拟输入:接系统时钟的环路滤波电容(700PF)。XTAL1模拟输入:RTC时钟的晶体输入脚。EXTAL1模拟输出:RTC时钟的晶体输出脚。它是XTAL1的反转输出信号。CLKout输出:时钟输出信号,S3C44B0X微处理器引脚信号10,(13)JTAG测试逻辑 nTRST输入:TAP控制器复位信号,nTRST在TAP启动时复位TAP控制器。若使用debugger,必须连接一个10K上拉电阻,否则nTRST必须为低电平。TMS输入:TAP控制器模式选择信号,控制TAP控制器的状态次序,必

18、须连接一个10K上拉电阻。TCK输入:TAP控制器时钟信号,提供JTAG逻辑的时钟信号源,必须连接一个10K上拉电阻。TDI输入:TAP控制器数据输入信号,是测试指令和数据的串行输入脚,必须连接一个10K上拉电阻。TDO输出:TAP控制器数据输出信号,是测试指令和数据的串行输出脚。,S3C44B0X微处理器引脚信号11,(14)电源 VDD:S3C44B0X内核逻辑电压(2.5V)VSS:S3C44B0X内核逻辑地.VDDIO:S3C44B0X I/O口电源(3.3V).VSSIO:S3C44B0X I/O地.RTCVDD:RTC电压(2.5V或3V,不支持3.3V).VDDADC:ADC电压

19、(2.5V).VSSADC:ADC地.,键盘概述,计算机的键实际上就是开关,制造这种键的方法是多种多样的。几种常用的按键:(1)机械式按键(2)电容式按键(3)薄膜式按键(4)霍耳效应按键,按键识别方法,通常采用两种方法:(1)行扫描法,(2)行反转法。行扫描法:使键盘上某一行线为低电平,而其余行接高电平,然后读取列值,如果列值中有某位为低电平,则表明行列交点处的键被按下;否则扫描下一行,直到扫描完全部的行线为止。行反转法:行线接并行口,先让它工作在输出方式下,将列线也接一个并行口,先让它工作在输入模式下。CPU通过输出端口往各行线上全部送低电平,然后读入列线的值。如果有某一键被按下,则必定会

20、使某一列线值为0。然后,程序再对两个并行端口进行方式设置,使行线工作在输入,列线工作在输出,将刚才读的列线值从列线所接的并行端口输出,再读取行线上的输入值。,用ARM芯片实现键盘接口1,例:与4X4的矩阵键盘接口,采用节省口线的“行扫描法”方法来检测键盘,这样只需要8根口线。选取PF口作为检测键盘用端口,设定PF0 PF3为输出扫描码的端口,PF4-PF7为键值读入口。,用ARM芯片实现键盘接口2,矩阵键盘按键的识别方法,此方法分两步进行:识别键盘哪一行的键被按下:让所有行线均为低电平,检查各列线电平是否为低,如果有列线为低,则说明该列有键被按下,否则说明无键被按下。如果某列有键被按下,识别键

21、盘哪一行的键被按下:逐行置低电平,并置其余各行为高电平,检查各列线电平的变化,如果列电平变为低电平,则可确定此行此列交叉点处按键被按下。,行扫描法获取键值的程序1,接口中利用了PF口的相关寄存器,PF口的寄存器有3个:PF口数据寄存器 PDATF、PF口上拉电阻寄存器 PUPF和PF口控制寄存器 PCONF。,行扫描法获取键值的程序2,行扫描法获取键值的程序3,1寄存器设置程序中,首先通过设置PCONF寄存器,来实现端口功能配置,然后再分别设置PDATF及PUPF寄存器。(1)设置PCONF寄存器由于需要设定PF0PF3为输出口,PF4PF7为输入口,因此,在端口工作之前设置:rPCONF00

22、0 000 000 00 01 01 01 01 B0 x55;(2)设置PDATF寄存器PF0PF3作为输出口输出扫描码时,可采用如下语句:rPDATF=0 xf0;/PF0PF3全写入0PF4PF7作为输入口读入键值时,采用如下语句:Keyval=(rPDATF/使能PF0PF7的内部上拉电阻,行扫描法获取键值的程序4,2键盘扫描程序框图,行扫描法获取键值的程序5,3键盘扫描程序代码#include#include char ReadKeyVal(void)unsigned char i,j,H_val,L_val;char keyval=-1;rPCONF=0 x55;rPUPF=0 x

23、00;rPDATF=0 xf0;if(L_val=(rPDATFi+),行扫描法获取键值的程序6,rPDATF=H_val;for(j=0;j4)|0 xf0;Keyval=get_val(H_val)4+get_val(L_val);return keyval;elseH_val=H_val 1;return keyval;,行扫描法获取键值的程序7,/get_val子函数是由扫描值、读取的列值分别得到行号、列号char get_val(unsigned char val)unsigned char i,x;x=0;for(i=0;i1)|0 x80;x=x+1;,LED 概述,LED:七段

24、发光二极管,在专用的微型计算机系统中,特别是在嵌入式控制系统中,应用非常普遍。它价格低廉、体积小、功耗低,可靠性好。两种结构:共阳极和共阴极。,LED译码,在多个LED显示电路中,通常把阴(阳)极控制端接至一输出端口,我们称它为位控端口;把数据显示段接至一个输出端口,我们称这个端口为段控端口。段控端口处应输出十六进制数的7段代码。两种译码方法:(1)采用专用芯片,可以实现对BCD码的译码,但不能对大于9的二进制数译码。(2)软件译码法。在软件设计时,将0到F共16个数字(也可以为0到9)对应的显示代码组成一个表。,用ARM芯片实现LED显示接口1,1接口电路,用ARM芯片实现LED显示接口2,

25、2.初始化设置(1)设置PCONF、PCONG寄存器由于需要设定端口F、G为输出口,因此,在端口工作之前设置:rPCONF 001 001 001 01 01 01 01 01 B0 x12555;rPCONG 01 01 01 01 01 01 01 01 B0 x5555;(2)设置PUPF、PUPG寄存器设置内部上拉电阻的语句为:rPUPF=0 x00;/使能PF0-7的内部上拉电阻 rPUPG=0 x00;/使能PG0-7的内部上拉电阻,用ARM芯片实现LED显示接口3,3 LED显示流程图,用ARM芯片实现LED显示接口4,4 LED显示代码在内存中开辟一个数组dis_8_num,用

26、来存放将要在8个LED数码管上显示的字符。缓冲区第1个数据送最右边的LED,下一个数据送右边的第2个LED,依次进行直到最后一个数据送最左边的LED;led_dis数组内放置0f对应的7段段码表,LED为共阳极结构。#include#include void DisplayLedl(unsigned char dis_8_num)unsigned char led_dis=0 xC0,0 xF9,0 xA4,0 xB0,0 x99,0 x92,0 x82,0 xF8,0 x80,0 x90,0 x88,0 x83,0 xC6,0 xA1,0 x86,0 x8E,0 x8C;/0F及小数位段码表

27、,用ARM芯片实现LED显示接口5,unsigned char i,j,D_val,B_val;rPCONF 0 x12555;rPCONG0 x5555;rPUPF=0 x00;/使能PF0PF7的内部上拉电阻rPUPG=0 x00;/使能PG0PG7的内部上拉电阻B_val=0 x80;for(i=0;i 1;,LCD显示器接口概述,液晶:一种具有规则性分子排列的有机化合物,既不是固体也不是液体,介于固态和液态之间的物质。液晶显示是一种被动的显示,它不能发光,只能使用周围环境的光。基本原理:通过给不同的液晶单元供电,控制其光线的通过与否,从而达到显示的目的。三种显示方式:反射型,透射型和透

28、反射型。市面上出售的LCD有两种类型:(1)带有驱动电路的LCD显示模块,这种LCD可以方便地与各种低档单片机进行接口;(2)LCD显示屏,没有驱动电路,需要与驱动电路配合使用,LCD分类,常见的液晶显示器按物理结构分为四种:(1)扭曲向列型(TNTwisted Nematic);(2)超扭曲向列型(STNSuper TN);(3)双层超扭曲向列型(DSTNDual Scan Tortuosity Nomograph);(4)薄膜晶体管型(TFTThin Film Transistor)。,S3C44B0X内部LCD控制器,作用:把定位在系统存储器中的视频缓冲区的LCD图象数据传输到LCD驱动

29、器,并产生必须的LCD控制信号。LCD控制器包含:REGBANK、LCDCDMA、VIDPRCS和TIMEGEN。REGBANK:有18个可编程寄存器,用于配置LCD控制器。LCDCDMA:专用DMA,可以自动地将显示数据从帧内存中传送到LCD驱动器中。VIDPRCS:从LCDCDMA 接收数据。TIMEGEN:包含可编程的逻辑,支持常见的LCD驱动器所需要的不同接口时间和速率的要求。产生VFRAME,VLINE,VCLK,VM信号。,LCD控制器和LCD驱动器之间的接口信号,(1)VFRAME:帧同步信号,告诉LCD新的一帧开始。LCD控制器在一个完整帧显示完成后立即插入一个VFRAME信号

30、,并开始新一帧的显示。(2)VLINE:线同步脉冲信号,用于LCD驱动器将水平线(行)移位寄存器的内容传送给LCD屏显示。LCD控制器在整个水平线(整行)数据移入LCD驱动器后,插入一个VLINE信号。(3)VCLK:像素时钟信号,由LCD控制器送出的数据在VCLK的上升沿处送出,在VCLK的下降沿处被LCD驱动器采样。(4)VM:电压极性信号,VM信号被LCD驱动器用于改变行和列的电压极性,从而控制像素点的显示或熄灭。VM信号可以与每个帧同步,也可以与可变数量的VLINE信号同步。(5)VD3:0:LCD像素点数据输出端口。(6)VD7:4:LCD像素点数据输出端口。,LCD控制器的操作,(

31、1)显示类型3种:4位双扫描,4位单扫描,8位单扫描显示模式。(2)像素点字节数据格式在彩色模式下,1个字节8位(3位红色、3位绿色、2位蓝色)的图像数据对应于一个像素点。(3)虚拟显示支持硬件方式的水平或垂直滚动。如果要使屏幕滚动,显示缓冲区的大小要大于LCD显示屏的大小。(4)查找表查找表即调色板表,用于各种色彩选择或灰度级别选择。在灰度模式,通过查找表可以在16级灰度中选择4种灰度。在彩色模式,1个字节的图像数据组成是3位红色,3位绿色,2位蓝色。通过查找表,可以选择16级红色中的8种,16级绿色中的8种,16级蓝色中的4种,构成884=256色。每个色彩级别由4位数据表示,因此共有16

32、个色彩级别可供选择。,LCD控制参数,(1)水平扫描次数HOZVAL的计算公式:HOZVAL=(显示宽度/VD数据线的位数)-1其中,在彩色模式下,显示宽度=3每行的像素点数目。本例采用的LCD,HOZVAL=(3203/8)-1个像素。(2)垂直扫描次数LINEVAL的计算公式:在单扫描显示类型下:LINEVAL=(显示高度)-1在双扫描显示类型下:LINEVAL=(显示高度/2)-1本例采用的LCD,LINEVAL=240-1个像素。(3)像素时钟VCLK计算公式:VCLK=MCLK/(CLKVAL2),单位为赫兹(Hz)时钟变量CLKVAL的值决定了VCLK的频率,为了确定CLKVAL的

33、值,应计算由LCD控制器向VD端口传输数据的速率,以便使VCLK的值大于数据传输的速率。(4)数据传输速率的公式为:数据传输速率=HSVSFRMV其中,HS是LCD的行像素值;VS是LCD的列像素值;FR是帧速率;MV是模式值,其取值情况与显示模式有关。,系统实例计算,HS320;VS240;FR70 Hz;MV3/8,故数据传输速率=320240703/8=2 016 000 HzVCLK的值应大于2 MHz,小于16 MHz在本实例系统中,CLKVAL可以取值315。VFRAME信号的频率与LCDCON1和LCDCON2寄存器中的WLH(VLINE脉冲宽度),WHLY(VLINE脉冲之后V

34、CLK的延时宽度),HOZVAL,LINEBLANK和LINEVAL有关。,LCD控制器专用寄存器,LCD控制器主要提供液晶屏显示数据的传送时钟和各种信号产生及控制功能。LCD控制器专用寄存器主要有:LCD控制寄存器1(LCDCON1)LCD控制寄存器2(LCDCON2)LCD控制寄存器3(LCDCON3)帧缓冲区起始地址寄存器1(LCDSADDR1)帧缓冲区起始地址寄存器2(LCDSADDR2)帧缓冲区起始地址寄存器3(LCDSADDR3)红色查找表寄存器(REDLUT)绿色查找表寄存器(GREENLUT)蓝色查找表寄存器(BLUELUT),LCD应用实例-接口电路,VM,I/O口LCD功能

35、设置,通常采用S3C44B0X的PC口和PD口作为LCD驱动接口,因此需要设置PC口工作在第3功能状态和PD口工作在第2功能状态。设置对应I/O口控制寄存器的语句:rPCONC=00 00 00 00 00 00 00 00 11 11 11 11 00 00 00 00B=0 x0000ff00;rPUPC=0 x 00;/上拉使能rPCOND=0 xaaaa;rPUPD=0 x 00;,初始化程序代码1,U32*pLCDBuffer16=(U32*)0 xc000000;U32 LCDBufferLCDHEIGHTLCDWIDTH;void LCD_Init()int i;U32 LCDB

36、ASEU,LCDBASEL,LCDBANK;rPCONC00 00 00 00 00 00 00 00 11 11 11 11 00 00 00 00B=0 x0000ff00;rPUPC=0 x 00;/上拉使能rPCOND=0 xaaaa;rPUPD=0 x 00;rLCDCON1(0 x0)|(25)|(07)|(0 x38)|(0 x310)|(812);/VCLK的频率为8MHz,WLH为16个时钟;/WDLY为16个时钟;MMODE=0;/显示模式为8位单扫描方式,VCLK,VLINE,/VFRAME,VM为正常极性;不启动LCD,初始化程序代码2,rLCDCON2(240-1)|

37、(320*3/8-1)22;/(U32)LCDBuffer16)22;LCDBASEU=0 x0;/(U32)LCDBuffer16),触摸屏的概念与特点,触摸屏:从市场概念:计算机输入设备,不用学习,人人都会使用。从技术原理角度:透明的绝对定位系统,没有光标,检测手指触摸。特征:透明。透明的四个特性:透明度、色彩失真度、反光性和清晰度。绝对坐标系统,与鼠标这类相对定位系统的本质区别是一次到位的直观性。要求解决漂移现象。检测触摸并定位。各种触摸屏技术都是依靠各自的传感器来工作的,要求解决多点触摸问题。,触摸屏的类型,按工作原理分为:红外式触摸屏:价格低,外框易碎,容易产生光干扰,曲面情况下失真

38、。电容式触摸屏:设计理论好,图象失真问题很难得到根本解决。电阻式触摸屏:定位准确,价格高,怕刮易损。表面声波触摸屏:解决了以往触摸屏的各种缺陷,清晰抗暴,适于各种场合,缺憾是屏表面,的水滴、尘土会使触摸屏变得迟钝,甚至不工作。,电阻式触摸屏的工作原理,触摸屏组成:触摸检测部件:安装在显示器屏幕前面,检测用户触摸位置,接受后送触摸屏控制器。触摸屏控制器:接收触摸点检测装置信息,并将它转换成触点坐标,再送给CPU。工作原理:屏体部分是一块与显示器表面非常配合的多层复合薄膜。由一层玻璃或有机玻璃作为基层,表面涂有透明的导电层(OTI,氧化铟,弱导电体,透光率为80)。上面再盖一层外表面硬化处理、光滑

39、防刮的塑料层,它的内表面也涂有一层OTI,在两层导电层之间有许多细小(小于千分之一英寸)的透明隔离点把它们隔开绝缘。当手指接触屏幕,两层OTI导电层(层间距2.5微米)出现一个接触点,因其中一面导电层接通Y轴方向的5V均匀电压场,使得侦测层的电压由零变为非零,控制器侦测到这个接通后,进行A/D转换,可得触摸点的Y轴坐标。同理得出X轴的坐标。电阻屏根据引出线数多少,分为四线、五线等多线电阻触摸屏。,触摸屏的驱动芯片ADS7843,S3C44B0X通过端口G模拟串行的SIO接口与触摸屏专门的控制芯片ADS7843进行数据传输,来完成对触摸屏触摸位置坐标的读取。ADS7843完成两件事:(1)是完成

40、电极电压的切换;(2)是采集接触点处的电压值,并进行A/D转换。ADS7843特性:(1)可编程控制8位或者12位A/D转换模式。(2)低导通电阻模拟开关。(3)实现触摸屏的驱动选择控制(X、Y通道)。(4)供电电压为2.75V。(5)参考电压VREF为1V+Vcc。(6)转换电压的输入范围为0VREF。(7)最高转换速率为125kHz。,ADS7843引脚配置,ADS7843的控制字,S:数据传输起始标志,必须为1。A2A0:通道选择。MODE:A/D转换精度,“1”=8位,“0”=12位。SER/DFR:参考电压输入模式,“1”=固定电压(VREF)模式,“0”=差动电压(驱动电极)模式。

41、PD1、PD0:省电模式,“00”=省电模式允许,在两次A/D转换之间掉电,且中断允许;“01”与“00”相同,只是不允许中断;“10”=保留;“11”=禁止省电模式。,ADS7843典型接口,触摸屏在按下时分别给两组电极通电,然后将其对应位置的模拟电压信号经过A/D转换送回处理器。S3C44B0X选取PG口与ADS7843接口,共使用PG2PG7的6条口线,也可以选择其他的I/O口。X+、Y+、X-、Y-引脚直接与触摸屏的相应管脚相连。,ADS7843寄存器参数,PCONG寄存器:rPCONG=0 x015f PG7 6 5 4 3 2 1 0 00 00 00 01 01 01 11 11

42、 入 入 入 出 出 出PENIRQ加内部上拉电阻:rPUPG0 x80,读取触摸点坐标程序流程,SER/DFR=1,采用固定电压模式。首先检测PENIRQ是否为低电平,如果为低电平,则认为有接触;否则认为触摸屏没有接触。利用软件模拟DIN、DOUT和DCLK上的3线串行传输时序,将读取X或Y坐标数值的控制字串行送入ADS7843,然后再串行读出坐标值。最后将X和Y轴坐标值送串口显示即可。,读取触摸点坐标程序,检测PENIRQ,程序如下:#define TOUCH-MSR-Y 0 x9c;/读Y轴坐标控制字:8位A/D转换、参考电压固定模式、省电模式允许#define TOUCH-MSR_X

43、0 xdc;/读x轴坐标控制字:8位A/D转换、参考电压固定模式、省电模式允许if(rPDATG _State.Y=ReadTouch(temp);,送控制字并读取结果子程序1,int ReadTouch(unsigned char command)unsigned char temp,i,ack,j,k;ack=0;/PG7:PENIRQ,PG6:BUSY,PG5:DOUT,PG4:CS,PG3:DCLK,PG2:DIN rPDATG/清除DCLK,1位送出,PG3 delay(2);temp=temp1;/右移1位,送控制字并读取结果子程序2,while(temp=(rPDATG,送控制字

44、并读取结果子程序3,rPDATG|0 x08;/DCLK置高,PG3 if(temp=rPDATG/返回接收结果,串行通信概述,串行通信:就是使数据一位一位地进行传输而实现的通信。优点:传输线少、成本低。特别适合远距离传送。缺点:速度慢。串行数据传送模式:单工、半双工、全双工 串行通信方式:同步通信、异步通信,RS-232C接口规格,RS-232C所用的驱动芯片通常以12V的电源来驱动信号线,但是实际上,因为传输线的连接状态及接收端负载阻抗的影响,均会造成电压的下降,但最低仍不得低于5V以下。,S3C44B0X内部集成的UART,两个异步串口(SIO):每个SIO可以操作在中断模式或DMA模式

45、。波特率:最大为115.2kbps。FIFO:每个SIO通道都包含有一个16字节的接收与发送FIFO缓冲区。每个UART模块包含4个部件:(1)波特率发生器:MCLK作为时钟源。(2)发送器:可以产生暂停条件,暂停条件强制串口输出保持在逻辑0状态比一帧长的时间。(3)接收器:可以检测溢出错误、奇偶错误、frame错误和暂停条件,其中每一个设置一个错误标志。(4)控制单元:发送器和接收器包含16字节的FIFO和移位寄存器。要被发送的数据首先被写入FIFO,然后被复制到发送移位寄存器中,最后从数据输出端口(TxDn)依次被移位输出;被接收的数据也同样从数据接收端口(RxDn)依次被移位输入到移位寄

46、存器,然后被复制到FIFO中。,UART操作,数据发送:数据发送的帧格式可编程,包含一个起始位、58个数据位、一个可选的奇偶位和12个停止位,通过线控制寄存器(UCONn)设置。能产生发送中止条件。通常在一帧传输数据完整地传输完之后,再通过这个全0状态将中止信号发送给对方。数据接收:与数据发送数据格式相同,可以检测到溢出错误、奇偶校验错误、帧错误和中止状况。自动流控制(AFC):UART连接UART时使用,用nRTS 和nCTS信号进行自动流控制。自动流控制时,nRTS由接收条件控制,发送运行由nCTS信号控制,发送时当nCTS信号有效时(意味着对方的UART准备好接收数据),发送器传输数据到

47、FIFO中。在接收数据时,当FIFO有多于两个字节的空间时,nRTS激活(指示接收FIFO准备好接收数据),FIFO剩余空间在一个字节以下时必须将nRTS清0,说明“不能再接收”。非自动流控制:UART连接MODEM时使用,通过软件控制nRTS和nCTS。调制解调器接口:用nRTS、nCTS、nDSR、nDTR、DCD和nRI信号。AFC不支持RS-232C接口。,错误状态描述,溢出错误:新的数据已经覆盖了旧的数据,因为旧的数据没有及时被读入。奇偶校验错误:接收器检测到了意料之外的奇偶校验结果。帧错误:接收到的数据没有有效的停止位。中止状况:RxDn的输入被保持为0状态的时间超过了一个帧传输的

48、时间。接收超时:在FIFO模式下,接收FIFO不应为空,但当接收器在3个字时间内都没有接收到任何数据时,就认为发生了接收超时状况。,中断/DMA请求产生器,每个UART都有7个状态信号:接收FIFO/缓冲区数据准备好发送FIFO缓冲区空发送移位寄存器空溢出错误奇偶校验错误帧错误中止接收中断:当接收器要将接收移位寄存器的数据送到接收FIFO,会激活接收FIFO满状态信号,如果是中断模式,会引发中断。发送中断:当发送器从发送FIFO中取出数据送到发送移位寄存器,那么FIFO空状态信号将会被激活。如果是中断模式,会引发中断。如果接收/发送是DMA模式,“接收FIFO满”和“发送FIFO空”状态同样可

49、以产生DMA请求信号。,波特率发生器,波特率发生器:为传输提供串行移位时钟。波特率发生器以MCLK作为时钟源。波特率时钟:由对时钟源16分频及波特率除数寄存器(UBRDIVn)指定的16位除数决定。UBRDIVn值计算公式:UBRDIVn=(取整)(MCLK/(波特率16)-1除数的范围:1例如:如果波特率为115200b/s,且系统主频(MCLK)为40MHz,则UBRDIVn的值为:UBRDIVn=(取整)(40000000/(11520016)+0.5)-1=22-1=21,回送模式与红外通信模式,(1)回送模式:一个测试模式。在这种模式下,发送出的数据会立即被接收。这一特性用于校验运行

50、处理器内部发送和接收通道的功能。这种模式可以通过设置UART控制寄存器(UCONn)中的回送位来实现。(2)红外通信模式:S3C44BOX的UART模块支持红外线(IR)发送和接收。可以通过设置UART控制寄存器(UCONn)中的红外模式位来选择这一模式。,UART线控制寄存器,作用:规定传输帧的格式。线控制寄存器0(ULCON0)地址0 x01D00000,可读写,初始值为0 x00。线控制寄存器1(ULCON1)地址0 x01D04000,可读写,初始值为0 x00。,UART控制寄存器,作用:确定发送/接收的控制参数。,FIFO控制寄存器,作用:确定发送/接收FIFO的控制参数。UFCO

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 生活休闲 > 在线阅读


备案号:宁ICP备20000045号-2

经营许可证:宁B2-20210002

宁公网安备 64010402000987号